ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Size: px
Start display at page:

Download "ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM"

Transcription

1 ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. ASML Veldhoven, The Netherlands This paper was first presented at the Semicon/ Japan 97, SEMI Technology Symposium, December1997, Makuhari Messe, Chiba, Japan.

2

3 ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM Jan van Schoot, Bert Koek, Chris de Mol, Peter van Oorschot. Abstract The ASML PASx5500/500 DUV Step & Scan system is being used in early production of next generation devices. Larger field size requirements and tighter overlay specifications have pushed the development of Step & Scan systems for optical lithography. In this paper, we present recently obtained imaging and overlay results from the PASx5500/500 DUV Step & Scan system. We will show that the /500 has mass production capabilities for both 0.25/0.22 µm and 0.18 µm device generations. Depth of focus (DoF) and CD uniformity results of 0.25 µm contact holes and 0.22/0.18 µm lines are shown. CD uniformity numbers below 10 nm across the field for 0.18 µm lines have been observed and nm over a focus range of 0.6 µm. For 0.22 µm dense lines, a common corridor DoF across the field (UDoF) of 1.6 µm was measured. The single machine overlay, being 36 nm, and matched overlay results between 50 and 70 nm are within the overlay requirements for 0.22 to 0.18 µm device technology. Finally, SEM pictures of 0.16 µm and 0.10 µm lines demonstrate that imaging, scan synchronization and vibrations are controlled extremely well in the PASx5500/500 DUV Step & Scan system. 1. Introduction Step & Scan lithography is the next generation of optical photolithography equipment. Larger field size, tighter design rules, smaller resolution and low operating costs are the main driving forces for the competitive semiconductor industry. In Step & Scan lithography, the image is not projected completely in one flash, as in Step & Repeat, but the image on the reticle is scanned by the illuminated slit and simultaneously projected on the scanning wafer [1,2]. Lower aberrations in a Step & Scan system, allowing reduced critical dimension and machine-to-machine matched overlay control, enable device manufacturers to produce future device generations with sufficient process latitude using optical lithography. The challenge in Step & Scan technology is to scan the reticle and wafer rapidly and accurately. Fast stages are essential for high productivity and, consequently, low operational cost. Accurate reticle and wafer stage synchronization and system vibration control at high stage speeds are required to print sub 0.25 µm features. In this paper, we will start with a theoretical analysis of the performance of the system with 0.22 µm dense lines and spaces. We optimized the and partial coherence (σ) for maximum DoF and best CD uniformity. The simulations are followed by experimental results. We investigate the imaging capabilities for the 0.25/0.22 µm (for example 256 Mb/P6) and 0.18 µm (1 Gb, P7) design rules. We compare Step & Repeat and Step & Scan systems. The CD uniformity and UDoF for 0.25 µm dense lines and spaces from a PASx5500/300 DUV Step & Repeat and a PASx5500/500 DUV Step & Scan are compared to each other. For the Step & Scan system, ED-windows, UDoF and CD uniformity through focus for lines and spaces with resolutions of 0.22 µm down to 0.18 µm are measured for several illuminator settings. In addition to dense lines and spaces, other geometries (contact holes, isolated lines and semi-isolated lines and spaces) are characterized and the results are compared to theoretical data. Ultimate resolution is shown with pictures of 0.16 µm and 0.10 µm lines and spaces. Overlay and matching data is presented. Because Step & Scan systems are to be operated side by side with existing Step & Repeat systems, data is shown with the PASx5500/500 matched to both to a PASx5500/300 4x DUV Step & Repeat and PASx5500/200 5x i-line Step & Repeat system. 2. Process window optimization It is generally known that the selection of and σ can have large effects on the imaging performance in advanced semiconductor applications [6]. With the improved resolution and the subsequent decrease of process windows, it becomes more and more important to use the available optical enhancement techniques (variable partial coherence, off-axis illumination, pupil filtering, phase shift masks etc.) to maximize the process window for a given application. Optimum settings depend on which process parameter is considered most critical, i.e. the process must be optimized for either depth of focus, exposure latitude (EL), CD uniformity etc. This section investigates how process parameter optimizations influence the and σ setting using simulations. The parameters used are listed in Table 1. 1

4 Parameter Three metrics are investigated: Value Lumped contrast (γ) 9.0 Table 1 Resist thickness µm Absorption 0.2 µm -1 Wavelength Model 248 nm High scalar Flare 2% Parameters used for the simulations. Simulations are performed with the lumped parameter model of Prolith 2 [4]. 1) Optimizing DoF at a given fixed exposure latitude One technique to examine imaging performance is to analyze UDoF values. This is a generally accepted method. The maximum DoF can be found with no requirements placed on exposure latitude. A more realistic approach is to assume an exposure latitude criterion. In these simulations, 10% is used. 2) Optimizing CD uniformity at a fixed focus range Another viewpoint is that a focus budget can be made, from which the practical maximum defocus situations, and, thus, the required UDoFs can be derived. Such evaluations [3] typically result in required focus latitudes of around 0.6 µm. Using this focus range, the CD uniformity across the image field can be optimized. The simulations are a good prediction for the CD uniformity over 0.6 µm focus range, as presented in the experimental sections. In our simulations, 0.2 µm for image plane deviation is assumed and hence a minimum DoF of µm is required. With this boundary condition, an optimization for CD uniformity can be made. Within our simulations, we assume that this point is reached when the exposure latitude over a focus range of µm, is at a maximum. 3) Optimizing CD uniformity with normal distributed energy/focus deviations In a normal production mode, the actual exposure energy and focus errors have a normal distribution. This can be simulated by Monte Carlo techniques [3]. The simulations incorporate a 6 sigma range of 14% on the energy distribution and 0.66 µm on the focus distribution which are realistic production values. Simulations are run to demonstrate the and σ parameter space for all three metrics, 0.22 µm dense lines and spaces and annular illumination with a ring width of 0.3 σ are used. The results are illustrated in Figures 1, 2 and 3 for metric 1, 2 and 3 respectively. As can be seen in Figure 1, if DoF is optimized, the optimum /σ combination has a tendency towards lower and extreme annular settings. In Figure 2, it can be observed that, as the CD uniformity is optimized according to metric 2, the extreme annular setting is still preferred, but the selected makes no significant difference. This is in contrast to the most production oriented metric 3, in which a clear trend towards high and very moderate annular settings is seen. The conclusion here is that the applicable evaluation metric for optimum exposure conditions should be chosen carefully because it can drive the optimum and σ settings to completely different values. σ outer Figure µm Dense Lines 10% Exposure Latitude (µm) Simulation of DoF at 10% exposure latitude for different and σ settings, annular illumination. 3. Experimental conditions Experiments were executed using the ASML PASx5500/500 DUV Step & Scan system. This system has a projection lens with a continuously variable in the range of The AERIAL illuminator [2] enables continuously variable partial coherence in the range of , and also continuous variable annular illumination. For experiments performed with annular illumination, the ring width was kept constant at 0.3 and the position of the ring was varied. The PASx5500/500 has been described in more detail by de Zwart et al [2]. APEX-E was used as the photoresist material for imaging dense and isolated lines, at a nominal film I ILL 2

5 thickness of 0.63 µm. A Shipley RTC top coat was applied with a thickness of µm. Contact holes were exposed in TOK DP015 photoresist at a film thickness of 0.68 µm. The lines and spaces were examined using an OPAL7830i automated top-down CD SEM, contact holes were analyzed on a Hitachi 8840 top-down CD SEM. The Hitachi 8840 SEM was used for the printed pictures of the contact holes. The top-down SEMs were operated at low voltage mode. Tilted pictures of the lines and spaces were obtained from a Philips XL50 high voltage SEM using gold coated wafers. Overlay was measured using the PASx5500/500 s metrology system and a KLA 5011 overlay measurement tool. σ outer Figure 2 Simulation of Exposure latitude at µm DoF for different and σ settings, annular illumination. σ outer Figure µm Dense Lines Exposure µm DoF (%) 0.22 µm Dense Lines CD uniformity 6 σ (nm) Simulation of CD uniformity for different and σ settings with annular illumination. A focus distribution of 6σ = 0.66 µm and an exposure distribution of 14% are used. I ILL I ILL Imaging Performance 4.1. Device generation micron To benchmark the imaging performance of the Step & Scan system with the current production standard, 0.25 µm imaging tests were executed on the PASx5500/500 and ASML s PASx5500/300 DUV Step & Repeat system [8]. This directly compares the scanning technique to the traditional full field technique. Table 2 shows a comparison between the /500 and /300 for UDoF and CD uniformity. Field size for comparing both systems is 22 x 22 mm 2 and the same reticle was used. Projection tool UDoF CD uniformity 0.6 um Step & Repeat (/300) 1.3 [8] Step & Scan (/500) Table µm dense lines and spaces imaging comparison between PASx5500/500 Step & Scan and PASx5500/300 Step & Repeat systems. CD uniformity data is shown at best focus (BF) and over a focus range of 0.6 µm. From Table 2, it can be seen that both systems have sufficient UDoF and CD control for 0.25 µm device technology. The PASx5500/500, however, has a larger UDoF, probably due to the lower aberrations in the Step & Scan system. The significantly smaller area of the projection lens actually used for imaging allows for a tighter aberration control. Aberration is also partially averaged out, due to the scanning principle. The CD uniformity difference between both systems is within the noise of the measurements. In section 4.2 it will be shown that the CD uniformity is dominated by the reticle contribution. Comparison of Table 2 to measurements performed on the /500 Step & Scan with 0.22 µm dense lines, as given later on in Table 3, show that the CD range does not increase if the entire imaging field of 26 x 33 mm 2 is used. The PASx5500/500 is specified at a resolution of 0.22 µm. In Figure 4, the experimentally measured depth of focus as function of and σ for dense features is shown. In Figure 5 the data of the isolated features is shown for conventional illumination. With annular illumination a similar flat response is observed. The larger DoF values are obtained for low and high σ values, which is in accordance with the simulations as shown in Figure 1. The experimentally determined DoF is shown for the condition when there is 10% exposure latitude. DoF at 2% and 10% exposure latitude, UDoF and the iso-dense 3

6 overlapping depth of focus (ODoF) values are summarized in Table 5. ODoF is important especially where isolated and dense features have to be printed at the same time as in embedded RAM or logic devices. Figure 6 shows the ODoF for 0.22µm dense and isolated features. Values around 0.9 µm are obtained for low and low σ. In a µm chip design rule, a typical contact hole size is 0.30 µm. The imaging performance of 0.25 µm contact holes has been investigated for different and σ settings. σ outer Figure 4 σ µm Dense Lines 10% Exposure Latitude (µm) Measurement of the DoF@10%EL with 0.22 µm dense lines and spaces for different and σ settings, annular illumination µm Isolated Lines 10% Exposure Latitude (µm) I ILL I ILL σ outer 0 0, Figure 6 σ 5 Figure µm Dense & Isolated Lines 10% Exposure Latitude (µm) Measurement of the overlapping DoF of 0.22 µm dense and isolated lines for different and σ settings, annular illumination Measurement of the DoF@10% EL with 0.25 µm contact holes for different and σ settings, conventional illumination. Figure 7 shows the experimentally obtained DoF as function of and σ, where the exposure latitude is 10%. The optimum DoF values were found for =0.50, σ=5. Similar measurements using annular illumination show an optimum DoF value also an of 0.50, σ inner =, σ outer = µm Contact Holes 10% Exposure Latitude (µm) I ILL I ILL Figure 5 Measurement of the DoF@10%EL with 0.22 µm isolated lines and spaces for different and σ settings, conventional illumination. 4

7 Away from the lens 1.4 µm DoF Towards the Lens BF BF BF BF BF Figure 8 SEM pictures of 0.22 µm dense lines and spaces. =0.54, σ inner =0.45, σ outer =. To show the actual quality of the image printed, sample photos were taken. Figure 8 shows SEM pictures of 0.22 µm dense lines. The images were taken at =0.54 with an annular setting of σ inner =0.45, σ outer =. This setting was found to be the optimum for DoF (see Figure 4). The figure shows five focus settings, best focus, -0.6 and -0.7 µm defocus and +0.6, +0.7 µm defocus. Figure 9 shows 0.25 µm contact holes exposed at the optimum optical settings for maximum DoF for both conventional and annular illumination. Imaging performance is shown at best focus and in maximum defocus. As explained in section 2, there can be different metrics for optimizing the imaging performance of a lithography tool. The above figures show the DoF performance for 0.22 µm features. Tables 3 and 4 show an overview of the measured CD uniformity for 0.22 µm dense lines, isolated lines and 0.25 µm contact holes. The reticles used for these experiments are specially designed to minimize the reticle CD variation contribution using the Picked CD technique [9]. The reticle CD range for the dense lines is 34 nm. The expected CD range at wafer level due to the reticle is (34 nm/4)*1.35=11 nm. The value of 1.35 is the litho factor and has been determined experimentally with a set of constant pitch, variable line width lines and spaces. A typical value for CD uniformity in BF is 13 nm so it is obvious that the reticle contribution of 11 nm is dominant in the observed CD range. This is consistent with the observations of 0.25 µm features on a /300 DUV Step & Repeat system as described by Ingen Schenau and Kuijten [5]. The isolated features on the reticle were defined in the same way as the dense, but the CD distribution across the reticle has not been measured yet. The reticle CD range for the contact holes was measured to be 37 nm at reticle level. The litho factor for the 0.25 µm dense contacts is 1.8 so the expected CD range at the wafer is 17 nm. So, for the contact holes, we can also draw the conclusion that the reticle CD contribution dominates. Away from the lens 1.7 µm DoF Annular illumination f Towards the Lens BF BF BF µm DoF Conventional illumination BF - Figure 9 BF BF SEM pictures of 0.25 µm dense contact holes. Annular illumination =0.50, σ inner =, σ outer =5. Conventional illumination =0.50, σ=5. 5

8 From Table 5 we can see that the UDoF values lie approximately 250 nm below the DoF values. This difference corresponds very well to the image plane deviation [2]. Setting CD unif DL [nm] CD unif iso [nm] um 0.54 / / * Table 3 CD uniformity measured over 26 x 33 mm 2 field for 0.22 µm dense and isolated features at best focus and over a focus range. *0.4 µm focus range used. Setting UDoF CD unif [nm] 0.4 um 0.6 um / Table 4 CD uniformity of 0.25 µm contact holes at best focus, over 0.4 µm and 0.6 µm focus range Imaging performance at 0.18 micron Research and development for shrink versions of the current 256 Mb generations and for pilot production of 1 Gb generations is in progress. The PASx5500/500 has been used in some preliminary 0.18 µm imaging. First, the linearity of the tool and process has been investigated. Figure 10 shows the CD linearity for the standard APEX-E process for both conventional and annular illumination, dense and isolated lines. From the graph, it can be seen that the PASx5500/500 prints the dense lines linearly down to 0.18 µm features within ±10% from nominal CD. The isolated lines are printed linearly down to 0.16 µm. In Figure 10, both the dense and isolated lines are printed with the same dose. A negative bias of 5% has been applied. The graph does not show the ultimate resolution of the tool being used. Smaller features and pitches can be printed when the exposure dose is increased and the process will be adapted to the higher demands. Figures 11 and 12 show the experimentally obtained depth of focus for 0.18 µm dense and isolated features as function of and annular ring position. The DoF values are shown for the condition where there is 10% exposure latitude. Figure 11 shows that larger DoF values are obtained for high and high σ which is in line with theoretical expectations. Setting Dense Lines Isolated Lines σ DoF@2%EL DoF@10%EL UDoF DoF@2%EL DoF@10%EL UDoF ODoF@7%EL 0.54 / / / / / Table 5 Different DoF values for 0.22 µm dense and isolated lines. 6

9 Figure 12 shows that the isolated line performance is rather flat around the data points. CD [nm] Isolated Lines. Size Linearity =0.63 σ=0.50/0.20 =0.63 σ= % -10% σ outer µm Isolated Lines 10% Exposure Latitude (µm) 0, I ILL I ILL Figure 10 Dense Lines CD nominal [nm] Size linearity of dense and isolated lines measured with an annular (=0.63, σ inner =0.20, σ outer =0.50) and conventional (=0.63, σ=0.63) illumination. Figure 12 Measured DoF@10% exposure latitude of isolated lines for different and σ settings, annular illumination. Also, the overlapping depth of focus (ODoF, defined as the overlapping region of the dense and isolated process windows, see Figure 14) has been measured. Figure 13 shows the ODoF for 0.18 µm dense and isolated features as function of and annular ring position. The ODoF values are measured at 10% exposure latitude. Maximum ODoF is obtained for high and low σ settings. The reason for this is that the iso-dense bias is around zero in this region. σ outer Figure µm Dense Lines 10% Exposure Latitude (µm) Measured DoF@10% exposure latitude of dense lines and spaces for different and σ settings, annular illumination. I ILL σ outer 5 0 Figure µm Dense & Isolated Lines 10% Exposure Latitude (µm) Measured ODoF between dense and isolated lines for different and σ settings, annular illumination. See text I ILL 7

10 The maximum ODoF for 0.18 µm dense and isolated lines is µm. This is the case where we applied a negative bias of 10%. Strategies for improving the ODoF by reticle bias and -σ optimization are described in detail by Vandenberge et al [6] and Rogoff et al [7]. Figure 15 shows SEM pictures of 0.18 µm dense and isolated lines. The images of the dense lines were taken at an of 0.62 and an annular setting of σ inner =, σ outer =5. This setting was found to be the optimum for DoF from Figures 11 and 12. For the isolated lines, the setting used was =0.54, σ=/0.45. The figure shows five pictures through the entire acceptable focus range. Initial 0.18 µm testing across the field has been performed to give an impression of the 0.18 µm imaging capabilities. A 22 x 22 mm 2 reticle with semi-dense and isolated lines has been used. As in the 0.22 µm application, CD uniformity was measured for 0.18 µm. Table 6 shows an overview of the measured CD uniformity for 0.18 µm semi-dense and isolated features. The semi-dense features have a line space ratio of 1:2.5 to represent a microprocessor application. Dose [mj/cm 2 ] I ILL Figure 14 7% EL isolated lines dense lines µm ODoF Defocus [µm] Definition of the overlapping depth of focus between 0,18 µm dense and isolated lines. 0.5 µm ODoF has been obtained with =0.63, σ inner =0.35, σ outer =. See text. Setting CD uniformity [nm] σ Semi Dense] um / / * Table 6 CD uniformity for 0.18 µm semi-dense (1:2.5) and isolated features at best focus and over a focus range of 0.6 µm. *Levenson type Phase Shift Mask. The CD uniformity of 0.18 µm dense and isolated features through focus is as good as the 0.22 µm features but CD control at best focus is better. The cause for this improved CD control is the better reticle quality. The reticle has been manufactured with extremely tight specifications for the 22 x 22 mm 2 field. These results again indicate that we can expect even better CD control for the 0.22 µm lines and spaces with superior reticles. This is in line with the previous conclusion that the reticle error is the main contributor to the CD uniformity at BF. This semi-dense reticle has also been used to measure the CD uniformity of the PASx5500/300. The limitations of imaging with the PASx5500/500 have also been investigated. Figure 16 shows an example of 0.16 µm horizontal and vertical dense lines. The exact DoF of these features was difficult to determine since the quality of the 0.16 µm features on the test reticle was suspect. Figure 17 shows an image of 0.1 µm semi-dense structures. These images were obtained using a Levenson type hard phase shift reticle. Figure 16 and 17 show the resolution quality in both horizontal and vertical direction, which demonstrates that imaging, scan synchronization and vibration of the PASx5500/500 are controlled extremely well. 8

11 Away from the lens 1.3 µm DoF Towards the Lens +BF BF BF BF BF µm DoF Figure 15 +BF BF BF BF BF SEM pictures of dense and isolated 0.18 µm lines. Dense lines: =0.62, σ inner =, σ outer =5. Isolated lines: =0.54, σ inner =0.45, σ outer =. 5. Matching Figure 16 Figure 17 Horizontal Vertical SEM pictures of 0.16 µm dense lines and spaces using a binary mask. =0.63, σ inner =0.45, σ outer =5 Horizontal Vertical SEM pictures of 0.1 µm semi isolated lines and spaces using a Levenson type phase shift mask. In addition to imaging, the overlay performance is also crucial for the applicability of the PASx5500/500 in production applications. The overlay requirements for 0.25 µm technology are approximately nm for critical layers and nm for non-critical layers. These will be reduced to nm for critical layers and nm for non critical layers for 0.18 µm technology. The single machine overlay of the /500 is the basis of all overlay and matching experiments. The short term overlay performance of the /500 has previously been reported by de Zwart et al [2]. Figure 18 shows the long term single machine overlay performance. The figure shows the results of nine wafers which were exposed over a period of three days. The overlay for 99.7% of the data points is better than 36 nm. The first Step & Scan systems delivered to customers are used in combination with existing Step & Repeat systems. To investigate the expected performance in the field, matching experiments have been executed between the /500 and the 5X PASx5500/200 i-line Step & Repeat and 4X PASx5500/300 DUV Step & Repeat systems. In these experiments, the intrafield parameters of magnification, rotation and translation and interfield parameters scaling, rotation and translation were corrected. 9

12 The /300 and the /500 both have a double sided telecentric lens with moveable lens elements, allowing on site aberration control. In these systems, additional third order lens distortion can be optimized. Furthermore, unlike the 5X /200 Step & Repeat, the /300 and /500, being 4X systems, do not have to take additional reticle error into account. This improves the intrafield matching performance. The matching performance between the two /500s benefits from the maximum flexibility and the low distortion of the Step & Scan systems. The overlay was measured over multiple wafers, with 20 exposures on each wafer, including eight edge dies. Matching to the Step & Repeat systems was executed over a 22 x 22 mm 2 field. The matching between two /500s was executed over the full 26 x 33 mm 2 field. Table 7 shows the typical matching results between the /500 and the /200 or /300. The reference systems in these experiments were systems used in a production environment. The set-up of these reference systems was typically matched to an internal fab standard. From the table, it can be seen that the additional correction parameters in the /300 and /500 and the use of the same reticle, result in a better matching performance. The matching performance is affected by the selection of the reference grid and the degrees of freedom to correct for grid errors. By selecting a more square grid as a reference, the expected matching performance is better. An example of a better grid is given in Figure 19, plot a. Matched overlay (nm) Table 7 PASx5500 /200 PASx5500 /300 PASx5500 / Maximum matched overlay of a /500 to two Step & Repeat systems and a Step & Scan system. This figure shows the non correctable image distortion over the whole 26 x 33 mm 2 field. The maximum error in the image field is only 12 nm. Based on this grid it can be expected that the matching between a /300 and /500 will improve by approximately 5 nm. Another contribution to the overlay in device applications is the change in image distortion due to different /σ settings. In the final adjustment of the lens at Carl Zeiss, Oberkochen, Germany, the effect of /σ on lens aberrations is minimized. This typically results in a small aberration difference between different illumination modes. Figure 19 shows a vector plot of the distortion difference between conventional and annular illumination. The maximum distortion difference between the two settings is only 5 nm. The measured system overlay using the two different illumination modes is 25 nm, which is very similar to the standard, one setting overlay. number of registrations dx dy Three day overlay I ILL Figure distortion difference [nm] Three day single machine overlay result. 10

13 Nominal Position Y (mm) Nominal Position Y (mm) nm Nominal Position X [mm] a Distortion b Distortion difference I ILL 6. Conclusions The PASx5500/500, DUV Step & Scan system has been characterized for the applicability in µm device applications. The image quality, DoF, exposure latitude, and CD uniformity of 0.25 and 0.22 µm features result in large process window for 256 Mb/P6 applications. A UDoF of 1.6 µm for 0.22 µm dense lines has been measured. Performance at 0.18 µm has also been investigated. DoF values for dense lines of 1.1 µm and for isolated lines of 0.7 µm have been observed. For 0.18 µm lines CD uniformity numbers below 10 nm across the field and between nm over a 0.6 µm focus range are demonstrated. Although more optical enhancement techniques can be applied, the process window for dense and isolated features is large enough for mass production application with 0.18 µm design rules. The resolution experiments show that features down to 0.1 µm can be resolved which proves that scan synchronization and vibrations of the system are controlled extremely well and do not affect the imaging quality even at these small features. The three day single machine overlay, being 36 nm, and matched overlay results between 50 and 70 nm are within the overlay requirements for 0.22 to 0.18 µm device technology. To keep sufficient overlay margin, system improvements, the development of new matching algorithms, process and reticle control have to be continued to follow the device technology road map nm Nominal Position X [mm] Figure 19 Distortion for =0.57, σ=(a) and the difference plot of the distortion for =0.57, σ= and =0.54, σ=/0.45 (b). Note the different scales. 11

14 ACKNOWLEDGMENTS The authors would like to thank all involved in the PASx5500/500 project. Thanks also to the prototype tester personnel of the PASx5500/500, without them, no system test could have been run. Special thanks also to Corine Buijk, Yin Fong Choi, Frank Duray, Mariette Hoogendijk, Koen van Ingen-Schenau, Ingrid Janssen, Ted der Kinderen, Toine de Kort, Angelique Nachtwein, Jan-Chris van Osnabrugge, Jenny Swinkels and Marty Vermeulen for generating an enormous amount of data. Also we would like to thank John Jeuken and Jeremy Collin for their assistance in the writing of this paper and Cecile van der Riet for the illustrations and preparation of the SEMI slide presentation. We would like to express our gratitude to Paul van Attekum, Donis Flagello, Paul Luehrmann, Danu Satriasputra, Steef Wittekoek and Gerard de Zwart for reviewing the paper and giving valuable comments. REFERENCES [1] M. van den Brink et al. Step-and-scan and step-and-repeat, a technology comparison, SPIE Vol. 2726, Santa Clara, March 1996, pp [2] G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler and J. van Schoot, Performance of a step and scan system for DUV lithography, SPIE Vol. 3051, Santa Clara, March 1997, pp [3] Kurt Ronse et al., Characterization and optimization of CD control for 0.25 µm CMOS applications, SPIE Vol. 2726, March 1996, pp [4] Chris A. Mack, Inside Prolith, Finle Technologies Inc., Austin, Texas, USA. [5] K. van Ingen Schenau and J-P.Kuijten, Investigation of key components to intrafield CD variation for sub-quarter micron lithography, OLIN-Interface, October 1997, pp [6] Geert Vandenberge et al., 248 nm lithography for the 0.18 µm generation OLIN interface, October 1997, pp [7] R. Rogoff, G. Davies, J. Mulkens, J. de Klerk and P. van Oorschot, Photolithography using the AERIAL illuminator in a variable wafer stepper, SPIE Vol. 2726, Santa Clara, March 1996, pp [8] J. Stoeldraijer et al., A high throughput DUV wafer stepper with flexible illumination source, Semicon/Japan, December [9] J. Waelpoel, J. van Schoot and A. Zanzal, Demonstrating next generation CD uniformity with today s tools and processes, SPIE Vol. 3236, Redwood City, September

15

16

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler, J. van Schoot, J. de Klerk. This paper was first presented

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Double patterning for 32nm and below: an update Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML Mireille Maenhoudt, Shaunee Cheng, Tom Vandeweyer IMEC / Slide 1

More information

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1 ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems Phone: (+01) 610 682 4146 Email: Info@TEAsystems.com http://www.teasystems.com TEA Systems Corporation 65 Schlossburg St. Alburtis, PA 18011 USA Bossung Curves; an old technique with a new twist for sub-90

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

Horizontal-Vertical (H-V) Bias, part 2

Horizontal-Vertical (H-V) Bias, part 2 Tutor52.doc: Version 11/4/05 T h e L i t h o g r a p h y E x p e r t (February 2006) Horizontal-Vertical (H-V) Bias, part 2 Chris A. Mack, Austin, Texas In the last edition of this column we looked at

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVIII, SPIE Vol. 4345, pp. 1013-1021.

More information

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography Hyper-NA imaging of 45nm node random CH layouts using inverse lithography E. Hendrickx* a, A. Tritchkov b, K. Sakajiri b, Y. Granik b, M. Kempsell c, G. Vandenberghe a a IMEC, Kapeldreef 75, B-3001, Leuven,

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 001 by e Society of Photo-Optical Instrumentation Engineers. This paper was published in e proceedings of Photomask and X-Ray Mask Technology VIII SPIE Vol. 4409, pp. 194-03. It is made available

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

Aerial image based lens metrology for wafer steppers

Aerial image based lens metrology for wafer steppers Aerial image based lens metrology for wafer steppers P. Dirksen*, J.J.M. Braat**, A.J.E.M. Janssen*, T. Matsuyama***, T. Noda*** *Philips Research Europe, Belgium **Delft University of Technology, The

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Lithography for Semiconductor Manufacturing SPIE Vol. 3741, pp. 148-160. It is made

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

The MEEF Shall Inherit the Earth

The MEEF Shall Inherit the Earth The MEEF Shall Inherit the Earth. 1 1 2 2.3 4 Will Conley, Cesar Garza, Mircea Dusa, Robert Socha, Joseph Bendik, Chris Mack 1Motorola Austin, TX 2ASML Mask Tools San Jose, CA 3Dynamic Intelligence Inc.

More information

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond Christof Krautschik Technology & Manufacturing Group External Programs Intel, Santa Clara, CA October

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium; Double patterning for 32nm and below: an update Jo Finders (a), Mircea Dusa (b) Bert Vleeming (a) Henry Megens (a) Birgitt Hepp (a) Mireille Maenhoudt (c), Shaunee Cheng (c), Tom Vandeweyer (c) (a) ASML,

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

Impact of Pellicle on Overlay in Double Patterning Lithography

Impact of Pellicle on Overlay in Double Patterning Lithography Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami

More information

NSR-2205i14E (6" Reticle Type)

NSR-2205i14E (6 Reticle Type) Step-and-Repeat System NSR-2205i14E (6" Reticle Type) ACCEPTANCE TEST Nikon Precision Inc. January 9, 1998 JCW 1/98 22i14EAT01 1 NSR-2205i14E ACCEPTANCE TEST ITEMS (6" Reticle Type) No. Item Specification

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the creation of stepper jobs for the ASML PAS 5500. All users are expected to have read and understood

More information

Litho scenario solutions for FinFET SRAM 22nm node

Litho scenario solutions for FinFET SRAM 22nm node See discussions, stats, and author profiles for this publication at: https://www.researchgate.net/publication/51695 Litho scenario solutions for FinFET SRAM nm node ARTICLE in PROCEEDINGS OF SPIE - THE

More information

Registration Error Terms: Grid: Wafer Terms and Field IFD

Registration Error Terms: Grid: Wafer Terms and Field IFD Registration Error Terms: Grid: Wafer Terms and Field IFD Grid Rotat ion Grid Skew System Skew Field Skew Field Rotation Grid Y Mag Grid X Mag Field IsoMag Field Y (optical) Mag Field X (scan) Mag Grid

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features Christian Enkrich a, Gunter Antesberger a, Oliver Loeffler a, Klaus-Dieter Roeth b,franklaske b, Karl-Heinrich Schmidt

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

Mask Characterization for Double Patterning Lithography

Mask Characterization for Double Patterning Lithography Mask Characterization for Double Patterning Lithography Karsten Bubke 1, Eric Cotte 1, Jan Hendrik Peters 1, Robert de Kruif, Mircea Dusa 3, Joerg Fochler 4, Brid Connolly 4 1 Advanced Mask Technology

More information

Competitive Semiconductor Manufacturing

Competitive Semiconductor Manufacturing Competitive Semiconductor Manufacturing Prof. Robert C. Leachman Director, Competitive Semiconductor Manufacturing Program University of California at Berkeley Jan 16, 2007 Jan. 16, 2007 RCL - CSM Findings

More information

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication Benjamen M. Rathsack, Cyrus E. Tabery, Timothy B. Stachowiak, Jeff Albelo 2 and C. Grant Willson Department

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology Mircea Dusa a, Richard Moerman b, Bhanwar Singh c, Paul Friedberg c, Ray Hoobler d, Terrence

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10 SEMICONDUCTORS PACKAGING MEMS LEDS DISPLAYS JUNE 2018 Material Innovations for Advancements in Fan-out Packaging P. 14 Void Control in Die Attach P. 20 Patterned Wafer Geometry Grouping for Improved Overlay

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process John C. Lam, Alexander Gray n&k Technology, Inc., Santa Clara, CA ABSTRACT Critical dimension (CD) metrology

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

UV2Litho Usable Vacuum Ultra Violet Lithography

UV2Litho Usable Vacuum Ultra Violet Lithography UV2Litho Usable Vacuum Ultra Violet Lithography A.M. Goethals, R. Jonckheere, F. Van Roey, Jan Hermans, A. Eliat, K. Ronse (IMEC) P. Wong (ASML) P. Zandbergen (Philips) M. Vasconi, E. Severgnini (STMicroelectronics

More information

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification Chris Spence, Cyrus Tabery, Andre Poock *, Arndt C. Duerr #, Thomas Witte #, Jan Fiebig #, Jan Heumann #

More information

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing Britt Turkot Intel Corporation Outline Milestone Progress Exposure Tool Reticle Pellicle Infrastructure HVM Considerations

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry 1 Kin Wai Tang, 1 Teng Hwee Ng, 1 Lei Huang, 1 Susan Ng, 1 Thomas Ku, 2 Wee Teck Chia, 2 Lin

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

Cost of Ownership Considerations for Maskless Lithography

Cost of Ownership Considerations for Maskless Lithography Accelerating the next technology revolution Cost of Ownership Considerations for Maskless Lithography Lloyd C. Litt, SEMATECH Andrea F. Wüest, SEMATECH Copyright 2008 SEMATECH, Inc. SEMATECH, and the SEMATECH

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Today: 1. Introduction E. Bernhard Kley Institute of Applied Physics Friedrich-Schiller

More information

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set Jochen Bender, Michael Ferber, Klaus-Dieter Röth, Gerhard Schlüter, Walter Steinberg, Leica Microsystems Semiconductor GmbH,

More information

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles Qiaolin Zhang *a, Paul Friedberg b, Cherry Tang c Bhanwar Singh c, Kameshwar Poolla a, Costas J. Spanos b a Dept of Mechanical

More information

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography Bill Arnold SPIE Advanced Lithography Optical SPIE 69-3 / Slide Outline Double patterning

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Story. Cover. An Automated Method for Overlay Sample Plan Optimization Cover Story An Automated Method for Overlay Sample Plan Optimization Xuemei Chen, Moshe E. Preil, KLA-Tencor Corporation Mathilde Le Goff-Dussable, Mireille Maenhoudt, IMEC, Leuven, Belgium In this paper,

More information

IEUVI Mask Technical Working Group

IEUVI Mask Technical Working Group IEUVI Mask Technical Working Group Proposed changes P37/P38/P40 SEMI standards Thursday, Feb 28, 2008 / Slide 1 Introduction All of the recommendations are driven by the

More information

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC The Impact of EUV on the Semiconductor Supply Chain Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline Why EUV Who needs EUV EUV adoption roadmaps EUV wafer volume projections Impact

More information

Transmission Electron Microscopy for metrology and characterization of semiconductor devices

Transmission Electron Microscopy for metrology and characterization of semiconductor devices Transmission Electron Microscopy for metrology and characterization of semiconductor devices Bert Freitag, Laurens Kwakman, Ivan Lazic and Frank de Jong FEI / ThermoFisher Scientific, Achtseweg Noord 5,

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Lithography R E T I C L E The Crystal Growth and Reticle Degradation Exposé Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation Brian J. Grenon, Grenon Consulting, Incorporated

More information

Cost Implications of EUV Lithography Technology Decisions

Cost Implications of EUV Lithography Technology Decisions Accelerating the next technology revolution Cost Implications of EUV Lithography Technology Decisions Andrea F. Wüest, SEMATECH Andrew J. Hazelton, Nikon Corporation Greg Hughes, SEMATECH Lloyd C. Litt,

More information

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034,

More information

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY NANO-CMOS DESIGN FOR MANUFACTURABILILTY Robust Circuit and Physical Design for Sub-65nm Technology Nodes Ban Wong Franz Zach Victor Moroz An u rag Mittal Greg Starr Andrew Kahng WILEY A JOHN WILEY & SONS,

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information