157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node

Size: px
Start display at page:

Download "157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node"

Transcription

1 157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node Toshifumi Suganaga*, Noriyoshi Kanda, Jae-Hwan KIM, Osamu Yamabe, Kunio Watanabe, Takamitsu Furukawa, Seiro Miyoshi and Toshiro Itani Semiconductor Leading Edge Technologies Inc., Yokohama , Japan Julian Cashmore and Malcolm Gower Exitech Ltd, Yarnton, Oxford OX5 1QU, UK Abstract157nm lithography is, being investigated for the sub-70nm technology node of semiconductor devices. Many efforts have been reported on the exposure tool, the F 2 laser, the resist materials, the resist processing and the mask materials". A critical component for the success of this 157nm lithography is the availability of high numerical aperture (NA) lenses that lead to higher resolution capability and higher process margin. In this article, we describe our recent evaluation results of a high precision 157nm Microstepper with 0.85 NA lens combined with simulation analysis of the lithographic performance. The details of the evaluation results discussed here include the resolution limit of the high NA lens and the possible effects of intrinsic birefringence upon the lithographic performance. 1 INTRODUCTION The first high numerical aperture (0.85NA) 157nm Microstepper has been operational at Semiconductor Leading Edge Technologies Inc. (Selete) since early December 2001 in the Advanced Technology Research Department at Yokohama, Japan. The Microstepper is being used by the Optical Lithography Group at Selete for 157nm resist performance testing. In addition to the primary role the performance of the Microstepper tool platform and the imaging performance of the projection optics have both been investigated and are reported here. This paper discusses first the tool platform design and the various sub-system performances and follows with a description of the imaging performance obtained which supports sub-70nm feature resolution. Finally results of early studies into the effects of intrinsic birefringence on imaging performance and a comparison between simulation and experimental results are presented. These findings may have an impact on the design and qualification of future high NA lenses as well for in-situ correction of existing systems. 2 EXPOSURE TOOL PLATFORM The exposure tool platform for the high NA lens is a 2nd generation 157nm Microstepper manufactured by Exitech Ltd, UK. This tool has been developed from their earlier MS-157 Microstepper systems. These systems that were available early 2000 operated at a maximum numerical aperture of The main specification for the tool is given in Table 1 below and this section gives a description of the Microstepper. *Correspondence: suganaga@selete.co jp;;phone:(+81) ; fax: (+81) ; http// Selete, Yoshida-cho 292, Totsuka-ku, Yokohama, , JAPAN; kanda@selete.co.jp; (+81) yamabe2@selete.co jp;phone:(+81) ; watanabe7@selete.cojpphone:(+81) kim5@selete.co.jp; phone: (+81) , furukawa2@selete.co.jp; phone: (+81) miyoshi@selete.co.jp; phone: (+81) , itani2@selete.co.jp; phone: (+81) j.cashmore@exitech.co.uk; phone: (+44) , m.gower@exitech.co.uk; phone: (+44)

2 The light source for the system is a 157nm molecular fluorine laser (Lambda Physik Novaline F1030) with dual wavelength output at and nm that emits more than 10W at 1000Hz repetition rate. The beam delivery system (BDS) that connects to the F 2 laser comprises of CaF2 beam shaping optics, high reflectivity 157nm turning mirrors and a discretely variable beam intensity attenuator. Following the BDS the radiation passes into an illuminator optic (manufactured by Corning Tropel Corp.). This unit provides a near-uniform intensity distribution at the reticle plane and is designed to illuminate the entrance pupil of the projection lens with the appropriate fill factor. Apertures can be inserted into the illuminator to provide a wide range of partial coherence factors and also allows for apodised illumination. All sections of the BDS are purged with purified dry nitrogen gas to prevent absorption of the 157nm VUV radiation by molecular oxygen and water vapour. Levels of O2 in the BDS for example are typically < 0.2ppm. An exposure dose monitor located behind the final turning mirror acts as a partial beam splitter, transmitting a small fraction of the incident energy onto the detector. The reticle is held and positioned on a stage with precise control over its small scale XYθ z position using a piezoelectric flexure stage. In addition the stage has an automated large-scale translation capability that allows a single 6" square reticle to hold 10 object fields reducing the necessity for frequent reticle exchanges. A vacuum suction ring design is used to hold the reticle in a stable position. The projection lens is a 0.85NA catadioptric objective (also manufactured by Corning Tropel Corp.), chromatically corrected over the full emission spectrum of the F 2 laser with a diffraction-limited wavefront error of < 0.05 waves rms. The wafer is held and positioned on a low contact area vacuum chuck and a set of high-resolution air-bearing stages allows very high stability positioning in the XY plane (perpendicular to the optical axis). A pair of piezoelectric flexure stages mounted on top of the main XY stage gives full 6-axis control of the wafer position (including vertical height adjustment in the Z direction) of 5nm and 2µrad resolution. The wafer height is measured in the vicinity of the exposure field with a system of 3 position sensitive detectors that comprises of a diode laser triangulation system offering 10nm focus height resolution. A 157nm sensitive photodiode detector, mounted from the wafer stages is used to track the calibration of the dose monitor detector to the exposure dose delivered to the wafer. The tool is equipped with a robotic wafer-handling interface to an in-line track and can handle both 200 and 300mm diameter wafers from either the track input or from a manual cassette loader. A position reference system at the reticle and wafer is used for field-by-field wafer alignment compatible with the requirements of overlay exposures. The reticle stage, projection lens and wafer stage are all mounted from a common granite structure that provides for an extremely stable exposure platform. The tool is enclosed in an environmental chamber with temperature and humidity control of 0.1 C and ± 1 % R.H. respectively. Proc. SPIE Vol. 4691

3 The design for the 157nm Microstepper tool is shown schematically in Figure 1 and a 3D CAD drawing is shown in Figure 2.

4 3 IMAGING PERFORMANCE Before reviewing the imaging performance obtained with this system it is useful to consider the interaction between the numerical aperture of the projection lens, the usable k, factor required for the 70nm technology node and beyond and the resolution enhancement techniques (RET) available. Such a comparison is shown in Figure 3. At 157nm, operating at 0.85NA, binary reticles without RET will not be suitable for the 70nm node. The addition of weak RET allows for 70nm lithography at 0.85NA but strong RET will be required for sub-70nm imaging. At the expected limit of optical systems operating at 0.9NA and with strong RET reaching the 50nm technology node is just possible. A performance comparison can be made between the imaging performance of the current 0.85NA system and an earlier 157nm Microstepper at Selete that is equipped with a 0.6NA lens. Operating with an alternated phase shifting mask (Alt- PSM) and exposing a 100nm thick layer of commercially available photoresist (Shipley XP98248) on a bare silicon substrate an ultimate resolution of 70nm US was obtained. Using Rayleigh's law the k, factor for this process is 0.27, which is at the threshold of imaging with strong RET. Applying this k, factor to scale to the ultimate resolution at 0.85NA with an Alt-PSM it is expected that 50nm dense features should be resolved. Figure 4 shows the typical imaging performance obtained with the Shipley XP98248 resist on bare silicon substrate with Alt-PSM. The expected resolution based on scaling the NA from 0.6 to 0.85 at a constant k, factor was not achieved. However, there are a number of possible factors that might limit this scaling such as limitations of the photoresist and process,

5 system vibration levels being more significant at smaller feature sizes, interaction of the high NA radiation with the photoresist layer, illumination polarisation effects and the effect of CaF 2 intrinsic birefringence. Since the numerical aperture of the 0.85NA projection lens is variable down to 0.6NA another comparison of the imaging performance of the two Microstepper systems could be made at the same 0.6NA. As an example of the effect of resist and process upon the imaging performance Figures 5 shows the typical imaging results obtained with a fluoropolymer based resist that is a 20nm thicker layer than the Shipley resist. There is a significant reduction in line edge roughness and resolution between the two resists under identical exposure conditions. The ultimate resolution of 55nm is still greater than that expected from scaling from the 0.6NA system but nevertheless these results clearly demonstrate the capability of 157nm lithography and high NA optics to image sub-70nm dense features. Figure 5. Dense line imaging performance of 0.85NA lens with Alt-PSM (σ = 0.3) in 120nm Fluoropolymer resist on silicon substrate. At 55nm LS k, = INVESTIGATION INTO THE EFFECT OF INTRINSIC BIREFRINGENCE IN CaF 2 Intrinsic birefringence at 157nm in CaF 2 was first reported by John Burnett et al. 5 of the National Institute of Standards and Technology mid Cubic crystals such as CaF 2 can to a first-order approximation be regarded as isotropic materials. However, at the short wavelength of 157nm the CaF2 crystal no longer maintains its symmetry to the electrostatic component of the radiation field and an extra non-linear contribution to the electric displacement becomes significant in magnitude. This non-linear term gives rise to a birefringence in the CaF 2 material that increases as (1/λ)². This intrinsic birefringence has a spatial distribution that is a constant function over the entire crystal and exhibits an azimuthal symmetry about the direction of propagation of the radiation. In directions along the crystal axes that possess 3-fold or 4-fold symmetry (the (111) and (001) axes) the behavior is uniaxial so there is no birefringence. In all other directions the material is biaxial and therefore a non-zero birefringence exists. The magnitude of the birefringence is determined completely by the maximum birefringence along the (110) direction. The birefringence has been measured at NIST 5 to be 11.0nm/cm along this maximum direction, which is much greater than the target requirements for high NA 157nm lenses (1nm/cm). The 0.85NA lens has its optical axis along the (111) direction but due to the high NA and the catadioptric design of the objective some of the optical rays within the lens pass close to the directions of maximum birefringence within the CaF 2 material. This results in a distribution of the birefringence about the optical axis as shown in Figure 6 giving rise to a 3-point aberration. 6

6 Figure 6. Schematic showing the orientation of the crystallographic axes in a CaF 2 lens element and the distribution of birefringence about the optical axis. An investigation was carried out to attempt to quantify the magnitude of the 3-point aberrations in the lens and therefore to gain an understanding into whether intrinsic birefringence might have a serious impact on the imaging performance of the system. This is particularly relevant in the case of this high NA system since the lens was qualified before delivery at Coming Tropel using phase-measuring interferometry (PMI) only at a wavelength of 244nm. Therefore the PMI data collected is far less sensitive to the CaF 2 intrinsic birefringence that at the 157nm wavelength of operation. A sensitive metric to 3-point aberrations that can be derived from resist-image measurements is the line width difference (LWD) between the outermost features of a small collection of dense line structures. A schematic of the type of pattern used on a binary reticle is shown in Figure 7. The LWD is measured from the CD difference between line 1 and line 5. Figure 7. Schematic of the binary mask pattern used to measure the coma and 3-point aberration in the 0.85NA lens. The orientation of the patterns was 0, 45, 90 and 135. The LWD parameter is also sensitive to coma aberrations but the influence of coma and 3-point can be distinguished from each other by measuring the LWD as a function of the angle of rotation of the pattern about the azimuthal direction. The feature size chosen for these patterns was 180nm US using an illumination partial coherence value of 0.3. The LWD data was collected through a range of focus at constant exposure dose and is displayed in Figure 8. The data has been normalised so the best focus position is centered at 0µm.

7 Figure 8. Evaluation results of LWD measurements (CD difference) made through focus and as a function of the angle of rotation of the pattern. From an examination of the variation of the LWD with the angle of orientation of the pattern it is possible to make a conclusion regarding the existence of a significant 3-point aberration contribution. If the variation in LWD were solely attributable to coma aberrations then the quadrature sum of the LWD at the 0 and 90 orientations would be approximately equal to the LWD at the 45 orientation as follows: Applying this condition to the evaluation data in Figure 9 reveals that there is a residual LWD of approximately -40nm that confirms that there is a significant 3-point aberration contribution to the LWD. It is now possible to model the variation in LWD with orientation of the pattern as an expansion series of the orientation (0) containing terms that describe coma(x) and coma(y) (functions of θ) and 3-point(X) and 3-point(Y) aberrations (functions of 3θ) as follows: A plot of the measured LWD at the best focus position as a function of the pattern orientation is shown in Figure 9 together with a simulated best fit curve that describes the LWD variation. The magnitudes of the expansion coefficients A to D (in nm) are related to the magnitude of the particular aberration.

8 Figure 9. Graph of the measured LWD variation together with the best fit modelled variation as a function of the angle of rotation of the pattern. Having established the magnitudes of the effects of the coma and 3-point aberrations it is possible to derive approximate values for the actual aberrations themselves in terms of the Zernike coefficients in the expansion of the transmitted wavefront. The Zernike coefficients that describe low-order coma are Z7 (X) and Z8 (Y) and low-order 3point are Z10 (X) and Z11 (Y). A simulation was carried out using PROLITH/3D on exposures of the same 5-bar pattern used for resist exposures. The simulation conditions are detailed in Table 2. At each orientation of the 5-line structure the CD difference between the first to the fifth line was calculated (LWD) for varying amounts of each of the Zernike coefficients under investigation. The results of the simulation over a range of Zernike coefficients Z8 from 0.1λ to 0.3λ and Z11 from 0.2λ to 0.8λ are shown in Figure 10.

9 Figure 10. Simulation results from PROLITH analysis of the effect of coma(y) and 3-point(Y) aberration on LWD variation as a function of the angle of rotation of the pattern. Comparing the simulation results with the best-fit curve for the LWD variation as a function of the pattern direction that was derived from the experimental results allows the Zernike coefficients for coma and 3-point aberrations to be determined. These results are summarized in Table 3. The specification for the wavefront error over all 37 Zernike terms for this objective is less than 0.05 waves rms. With a measured rms wavefront of waves the PMI data collected at 244nm and scaled to 157nm supports this specification. One possible explanation for the discrepancy between the 244nm PMI derived Zernike data and the results in Table 3 may be due to the intrinsic birefringence of the CaF 2 lens material. Although aberrations such as coma and 3-point were measured and corrected for using 244nm PMI measurements those components of these aberrations that are specifically dependent on the intrinsic birefringence could not be fully corrected using only 244nm wavelength interferometry. However, there are other possible reasons for the presence of coma and 3-point in the lens which include induced mounting stresses in the lens elements or non-uniformity in the internal refractive index within the lens.

10 5 CONCLUSIONS We have presented details and imaging performance results of a high NA 157nm Microstepper system at Selete that is capable of supporting the needs of photoresist research and development at the 70nm technology node and beyond. Resist image-based measurements have also indicated that there is a possible influence on the imaging performance from the intrinsic birefringence of the CaF 2 lens material. The Exitech MS-157 Microstepper, which is designed for operation with both 200 and 300mm wafers possesses ultrahigh stability wafers stages and 10nm autofocus system resolution that are important requirements to achieving the ultimate imaging performance of the system. It is also the first exposure tool at this wavelength to be equipped with a 0.85NA projection lens that is capable of sub-70nm resolution. The feasibility of 157nm high NA lithography in combination with strong resolution enhancement techniques to address the 70nm technology node has been demonstrated. An ultimate resolution of 55nm US was obtained with an alternated phase shifting mask using a 120nm thick fluoropolymer resist. It is now well known that the intrinsic birefringence of CaF 2 is a significant effect at 157nm with measurements indicating over an order of magnitude larger value than the 1nm/cm target requirement for high NA 157nm lithography lenses. This lens was designed and fabrication was mostly completed before this knowledge became available. Intrinsic birefringence was not apparent from the 244nm interferometry data used to qualify the lens. Imaging in resistbased measurements and simulations were carried out to measure the effects of the intrinsic birefringence. Measurements were made of the line width difference between the outermost features of a 5-line pattern as function of the orientation of the pattern. Matching this behavior to a simulation of the effect of coma and 3-point aberrations derives values for the respective Zemike terms that are considerably larger than those measured during the 244nm interferometry lens qualification e.g. we measured Z10 which represents 3-point (X) aberration as waves rms compared with the 244nm PMI measurement of the entire wavefront error of waves rms. One possible conclusion is that such differences are attributable to the effect of intrinsic birefringence although there are other possible influences such as mounting induced stresses and non-uniform birefringence. Future resist based experiments are planned to measure and confirm the effect of birefringence in this lens at 157nm. This work has highlighted the desirability of high NA lens qualification by actinic wavelength interferometry whereby the effects of intrinsic birefringence can be measured and compensated for during the lens design, build and testing phases. 6 ACKNOWLEDGMENTS The authors would like to thank the SELETE member companies for their cooperation as well as and Corning Tropel Corp. for their expert work in designing and fabricating the 0.85NA lens used in this work. 7 REFERENCES 1. M. Toriumi, S. Ishikawa, S. Miyoshi, T. Naito, T. Yamazald, M. Watanabe and T. Itani, Proc. SPIE 4345, 371 (2001) Satou, M. Watanabe, H. Watanabe and T. Itani, Proc. SPIE 4345, 361 (2001). 3. T. Matsuo, T. Onodera, T. Itani and H. Morimoto, Proc. SPIE 4186, 268 (2000). 4. T. Onodera, T. Matsuo, T. Itani and H. Morimoto, Proc. SPIE 4346, 61 (2001). 5. J.H. Burnett, Z.H. Levine and E.L. Shirley, International SEMATECH CaF 2 Birefringence Workshop, San Francisco, July J. Webb, International SEMATECH CaF 2 Birefringence Workshop, San Francisco, July 2001.

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives Performance Enhancement of 157 nm Newtonian Catadioptric Objectives James Webb, Timothy Rich, Anthony Phillips and Jim Cornell Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450, 585-377-3200

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

Optical/IR Observational Astronomy Telescopes I: Optical Principles. David Buckley, SAAO. 24 Feb 2012 NASSP OT1: Telescopes I-1

Optical/IR Observational Astronomy Telescopes I: Optical Principles. David Buckley, SAAO. 24 Feb 2012 NASSP OT1: Telescopes I-1 David Buckley, SAAO 24 Feb 2012 NASSP OT1: Telescopes I-1 1 What Do Telescopes Do? They collect light They form images of distant objects The images are analyzed by instruments The human eye Photographic

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

High-resolution EUV Microstepper tool for resist testing & technology evaluation

High-resolution EUV Microstepper tool for resist testing & technology evaluation High-resolution EUV Microstepper tool for resist testing & technology evaluation A Brunton, J Cashmore, P Elbourn, G Elliner, M Gower, P Grünewald, M Harman, S Hough, N McEntee, S Mundair, D Rees, P Richards,

More information

Designing a Computer Generated Hologram for Testing an Aspheric Surface

Designing a Computer Generated Hologram for Testing an Aspheric Surface Nasrin Ghanbari OPTI 521 Graduate Report 2 Designing a Computer Generated Hologram for Testing an Aspheric Surface 1. Introduction Aspheric surfaces offer numerous advantages in designing optical systems.

More information

Opto-Mechanical I/F for ANSYS

Opto-Mechanical I/F for ANSYS Opto-Mechanical I/F for ANSYS Victor Genberg, Gregory Michels, Keith Doyle Sigmadyne, Inc. Abstract Thermal and structural output from ANSYS is not in a form useful for optical analysis software. Temperatures,

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica Julie L. Ladison a, Joseph F. Ellison a, Douglas C. Allan b, David R. Fladd c, Andrew W. Fanning

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas T h e L i t h o g r a p h y T u t o r (Summer 1994) Swing Curves Chris A. Mack, FINLE Technologies, Austin, Texas In the last edition of this column, we saw that exposing a photoresist involves the propagation

More information

VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE

VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE Masaki Hosoda, Robert E. Parks, and James H. Burge College of Optical Sciences University of Arizona Tucson, Arizona 85721 OVERVIEW This paper

More information

Machine Positioning Uncertainty with Laser Interferometer Feedback

Machine Positioning Uncertainty with Laser Interferometer Feedback Machine Positioning Uncertainty with Laser Interferometer Feedback The purpose of this discussion is to explain the major contributors to machine positioning uncertainty in systems with laser interferometer

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

TIE-43: Optical Properties of ZERODUR

TIE-43: Optical Properties of ZERODUR PAGE 1/12 0 Introduction ZERODUR is a glass-ceramic material exhibiting a very low coefficient of thermal expansion The material is therefore used as a mirror substrate for astronomical telescopes or as

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

SEMATECH 157nm Technical Review

SEMATECH 157nm Technical Review SEMATECH 157nm Technical Review Technical Status Report on F2 - Lasers for 157nm Lithography I. Klaft a), F. Voss a), I. Bragin a), E. Bergmann a), T. Nagy a), N. Niemöller a), K.Vogler a), S. Spratte

More information

Physics 313: Laboratory 8 - Polarization of Light Electric Fields

Physics 313: Laboratory 8 - Polarization of Light Electric Fields Physics 313: Laboratory 8 - Polarization of Light Electric Fields Introduction: The electric fields that compose light have a magnitude, phase, and direction. The oscillating phase of the field and the

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

OOFELIE::Multiphysics 2014

OOFELIE::Multiphysics 2014 OOFELIE::Multiphysics 2014 INDUSTRIAL MULTIPHYSICS DESIGN FOR OPTICAL DEVICES INTRODUCTION 2 High precision opto-mechanics A VERY HIGH ACCURACY IN THE PRODUCTION OF MIRRORS AND LENSES IS NOW VERY OFTEN

More information

Optical Interface for MSC.Nastran

Optical Interface for MSC.Nastran Optical Interface for MSC.Nastran Victor Genberg, Keith Doyle, Gregory Michels Sigmadyne, Inc., 803 West Ave, Rochester, NY 14611 genberg@sigmadyne.com Abstract Thermal and structural output from MSC.Nastran

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

LAB DEMONSTRATION OF INTERFEROMETRIC

LAB DEMONSTRATION OF INTERFEROMETRIC LAB DEMONSTRATION OF INTERFEROMETRIC MEASUREMENT USING A TEST PLATE AND CGH Presented to: Larry Stepp Eric Hansen The Association of Universities for Research in Astronomy, Inc. Tucson, AZ, 85726 Prepared

More information

Colour Images from Compound Semiconductor Radiation Detectors Chapter 3. Alan Owens

Colour Images from Compound Semiconductor Radiation Detectors Chapter 3. Alan Owens Colour Images from Compound Semiconductor Radiation Detectors Chapter 3 Alan Owens Figure 3.2: Left: a diamond disk saw. Right: a wire saw used for cutting ingots into slices prior to detector preparation.

More information

Immersed diffraction gratings for the Sentinel-5 earth observation mission. Ralf Kohlhaas

Immersed diffraction gratings for the Sentinel-5 earth observation mission. Ralf Kohlhaas Immersed diffraction gratings for the Sentinel-5 earth observation mission Ralf Kohlhaas 10-10-2017 Introduction SRON supports earth observation satellite missions with the delivery of immersed diffraction

More information

Phase Retrieval for the Hubble Space Telescope and other Applications Abstract: Introduction: Theory:

Phase Retrieval for the Hubble Space Telescope and other Applications Abstract: Introduction: Theory: Phase Retrieval for the Hubble Space Telescope and other Applications Stephanie Barnes College of Optical Sciences, University of Arizona, Tucson, Arizona 85721 sab3@email.arizona.edu Abstract: James R.

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

Practice Paper-3. Q. 2. An electron beam projected along + X-axis, in a magnetic field along the + Z-axis. What is

Practice Paper-3. Q. 2. An electron beam projected along + X-axis, in a magnetic field along the + Z-axis. What is Practice Paper-3 Q. 1. An electric dipole of dipole moment 20 10 6 cm is enclosed by a closed surface. What is the net flux coming out of the surface? Q. 2. An electron beam projected along + X-axis, in

More information

Pupil matching of Zernike aberrations

Pupil matching of Zernike aberrations Pupil matching of Zernike aberrations C. E. Leroux, A. Tzschachmann, and J. C. Dainty Applied Optics Group, School of Physics, National University of Ireland, Galway charleleroux@yahoo.fr Abstract: The

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Use of computer generated holograms for alignment of complex null correctors

Use of computer generated holograms for alignment of complex null correctors Use of computer generated holograms for alignment of complex null correctors Rene Zehnder, James H. Burge and Chunyu Zhao College of Optical Sciences, the University of Arizona 1630 E. University Blvd,

More information

Figure testing of 300 mm Zerodur mirrors at cryogenic temperatures

Figure testing of 300 mm Zerodur mirrors at cryogenic temperatures Figure testing of 300 mm Zerodur mirrors at cryogenic temperatures J. W. Baer, W. P. Lotz Ball Aerospace & Technologies Corp. PO Box 1062 Boulder, CO 80306 Keywords: mirrors, figure testing, cryogenic,

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

PRINCIPLES OF PHYSICAL OPTICS

PRINCIPLES OF PHYSICAL OPTICS PRINCIPLES OF PHYSICAL OPTICS C. A. Bennett University of North Carolina At Asheville WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION CONTENTS Preface 1 The Physics of Waves 1 1.1 Introduction

More information

CBSE PHYSICS QUESTION PAPER (2005)

CBSE PHYSICS QUESTION PAPER (2005) CBSE PHYSICS QUESTION PAPER (2005) (i) (ii) All questions are compulsory. There are 30 questions in total. Questions 1 to 8 carry one mark each, Questions 9 to 18 carry two marks each, Question 19 to 27

More information

Characterization of Optical Proximity Correction Features

Characterization of Optical Proximity Correction Features Characterization of Optical Proximity Correction Features John Allgair, Michelle Ivy, Kevin Lucas, John Sturtevant Motorola APRDL, Austin, TX 7871 Richard Elliott, Chris A. Mack, Craig MacNaughton, John

More information

Development of a Mask-Scan EB Mask Writing System

Development of a Mask-Scan EB Mask Writing System Development of a Mask-Scan EB Mask Writing System Munehiro OGASAWARA, Shinsuke NISHIMURA, Kiminobu AKENO, Soichiro. MITSUI, Mitsuko SHIMIZU, Hideo KUSAKABE, and Toru TOJO EUV Process Technology Research

More information

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Development of surface metrology for the Giant Magellan Telescope primary mirror

Development of surface metrology for the Giant Magellan Telescope primary mirror Development of surface metrology for the Giant Magellan Telescope primary mirror J. H. Burge a,b, W. Davison a, H. M. Martin a, C. Zhao b a Steward Observatory, University of Arizona, Tucson, AZ 85721,

More information

Integrating MD Nastran with Optical Performance Analysis

Integrating MD Nastran with Optical Performance Analysis Integrating MD Nastran with Optical Performance Analysis Victor Genberg, Gregory Michels Sigmadyne, Inc., 803 West Ave, Rochester, NY 14611 genberg@sigmadyne.com Abstract The development of products in

More information

Development of a cryogenic compact interferometric displacement sensor

Development of a cryogenic compact interferometric displacement sensor Development of a cryogenic compact interferometric displacement sensor Fabián E. Peña Arellano National Astronomical Observatory of Japan Outline of the presentation Motivation: local position sensor for

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Edward S. Rogers Sr. Department of Electrical and Computer Engineering. ECE426F Optical Engineering. Final Exam. Dec. 17, 2003.

Edward S. Rogers Sr. Department of Electrical and Computer Engineering. ECE426F Optical Engineering. Final Exam. Dec. 17, 2003. Edward S. Rogers Sr. Department of Electrical and Computer Engineering ECE426F Optical Engineering Final Exam Dec. 17, 2003 Exam Type: D (Close-book + one 2-sided aid sheet + a non-programmable calculator)

More information

A Reticle Correction Technique to Minimize Lens Distortion Effects

A Reticle Correction Technique to Minimize Lens Distortion Effects A Reticle Correction Technique to Minimize Lens Distortion Effects Warren W. Flack, Gary E. Flores, Alan Walther and Manny Ferreira Ultratech Stepper, Inc. San Jose, CA 95134 Mix-and-match lithography

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

OPTI 511L Fall A. Demonstrate frequency doubling of a YAG laser (1064 nm -> 532 nm).

OPTI 511L Fall A. Demonstrate frequency doubling of a YAG laser (1064 nm -> 532 nm). R.J. Jones Optical Sciences OPTI 511L Fall 2017 Experiment 3: Second Harmonic Generation (SHG) (1 week lab) In this experiment we produce 0.53 µm (green) light by frequency doubling of a 1.06 µm (infrared)

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Optics. Measuring the line spectra of inert gases and metal vapors using a prism spectrometer. LD Physics Leaflets P

Optics. Measuring the line spectra of inert gases and metal vapors using a prism spectrometer. LD Physics Leaflets P Optics Spectrometer Prism spectrometer LD Physics Leaflets P5.7.1.1 Measuring the line spectra of inert gases and metal vapors using a prism spectrometer Objects of the experiment Adjusting the prism spectrometer.

More information

EUV Reflectivity measurements on Acktar Sample Magic Black

EUV Reflectivity measurements on Acktar Sample Magic Black Report EUV Reflectivity measurements on Acktar Sample Magic Black S. Döring, Dr. K. Mann Laser-Laboratorium Göttingen e.v. October 28, 2011 Contents 1 Introduction 3 2 Setup 3 3 Measurements 4 4 Conclusion

More information

AOL Spring Wavefront Sensing. Figure 1: Principle of operation of the Shack-Hartmann wavefront sensor

AOL Spring Wavefront Sensing. Figure 1: Principle of operation of the Shack-Hartmann wavefront sensor AOL Spring Wavefront Sensing The Shack Hartmann Wavefront Sensor system provides accurate, high-speed measurements of the wavefront shape and intensity distribution of beams by analyzing the location and

More information

Polarization of Light and Birefringence of Materials

Polarization of Light and Birefringence of Materials Polarization of Light and Birefringence of Materials Ajit Balagopal (Team Members Karunanand Ogirala, Hui Shen) ECE 614- PHOTONIC INFORMATION PROCESSING LABORATORY Abstract-- In this project, we study

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope.

On the possibility to create a prototype of laser system for space debris movement control on the basis of the 3-meter telescope. OJC «RPC «Precision Systems and Instruments», Moscow, Russia A. Alexandrov, V. Shargorodskiy On the possibility to create a prototype of laser system for space debris movement control on the basis of the

More information

Lecture 9: Introduction to Diffraction of Light

Lecture 9: Introduction to Diffraction of Light Lecture 9: Introduction to Diffraction of Light Lecture aims to explain: 1. Diffraction of waves in everyday life and applications 2. Interference of two one dimensional electromagnetic waves 3. Typical

More information

UV2Litho Usable Vacuum Ultra Violet Lithography

UV2Litho Usable Vacuum Ultra Violet Lithography UV2Litho Usable Vacuum Ultra Violet Lithography A.M. Goethals, R. Jonckheere, F. Van Roey, Jan Hermans, A. Eliat, K. Ronse (IMEC) P. Wong (ASML) P. Zandbergen (Philips) M. Vasconi, E. Severgnini (STMicroelectronics

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Measuring Laser Diode Optical Power with an Integrating Sphere

Measuring Laser Diode Optical Power with an Integrating Sphere Measuring Laser Diode Optical Power with an Integrating Sphere Introduction Characterizing radiant sources like laser diodes accurately depends on the ability to measure their optical power output accurately.

More information

Regular Reflectance and Transmittance Measurements of Transmissive Materials Using a STAR GEM Optical Accessory

Regular Reflectance and Transmittance Measurements of Transmissive Materials Using a STAR GEM Optical Accessory Regular Reflectance and Transmittance Measurements of Transmissive Materials Using a STAR GEM Optical Accessory 1,3 E.Kawate, 1,2 M.Hain 1 AIST, 1-1-1, Central 2, Umezono, Tsukuba, Ibaraki 305-8568, Japan

More information

PHYSICS 2005 (Delhi) Q3. The power factor of an A.C. circuit is 0.5. What will be the phase difference between voltage and current in this circuit?

PHYSICS 2005 (Delhi) Q3. The power factor of an A.C. circuit is 0.5. What will be the phase difference between voltage and current in this circuit? General Instructions: 1. All questions are compulsory. 2. There is no overall choice. However, an internal choke has been pro vided in one question of two marks, one question of three marks and all three

More information

Lasers and Electro-optics

Lasers and Electro-optics Lasers and Electro-optics Second Edition CHRISTOPHER C. DAVIS University of Maryland III ^0 CAMBRIDGE UNIVERSITY PRESS Preface to the Second Edition page xv 1 Electromagnetic waves, light, and lasers 1

More information

Calibrating the Thermal Camera

Calibrating the Thermal Camera 1 of 5 4/19/2012 5:33 AM from photonics.com: 12/01/2009 http://www.photonics.com/article.aspx?aid=40679 Calibrating the Thermal Camera As thermal cameras gain ground in the commercial market, testing becomes

More information

AP5301/ Name the major parts of an optical microscope and state their functions.

AP5301/ Name the major parts of an optical microscope and state their functions. Review Problems on Optical Microscopy AP5301/8301-2015 1. Name the major parts of an optical microscope and state their functions. 2. Compare the focal lengths of two glass converging lenses, one with

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Lecture 4: Anisotropic Media. Dichroism. Optical Activity. Faraday Effect in Transparent Media. Stress Birefringence. Form Birefringence

Lecture 4: Anisotropic Media. Dichroism. Optical Activity. Faraday Effect in Transparent Media. Stress Birefringence. Form Birefringence Lecture 4: Anisotropic Media Outline Dichroism Optical Activity 3 Faraday Effect in Transparent Media 4 Stress Birefringence 5 Form Birefringence 6 Electro-Optics Dichroism some materials exhibit different

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Light matter interaction. Ground state spherical electron cloud. Excited state : 4 quantum numbers n principal (energy)

Light matter interaction. Ground state spherical electron cloud. Excited state : 4 quantum numbers n principal (energy) Light matter interaction Hydrogen atom Ground state spherical electron cloud Excited state : 4 quantum numbers n principal (energy) L angular momentum, 2,3... L L z projection of angular momentum S z projection

More information

Lecture 11: Introduction to diffraction of light

Lecture 11: Introduction to diffraction of light Lecture 11: Introduction to diffraction of light Diffraction of waves in everyday life and applications Diffraction in everyday life Diffraction in applications Spectroscopy: physics, chemistry, medicine,

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

MP5: Soft Matter: Physics of Liquid Crystals

MP5: Soft Matter: Physics of Liquid Crystals MP5: Soft Matter: Physics of Liquid Crystals 1 Objective In this experiment a liquid crystal display (LCD) is built and its functionality is tested. The light transmission as function of the applied voltage

More information

Laser Optics-II. ME 677: Laser Material Processing Instructor: Ramesh Singh 1

Laser Optics-II. ME 677: Laser Material Processing Instructor: Ramesh Singh 1 Laser Optics-II 1 Outline Absorption Modes Irradiance Reflectivity/Absorption Absorption coefficient will vary with the same effects as the reflectivity For opaque materials: reflectivity = 1 - absorptivity

More information

2.71. Final examination. 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS PLEASE RETURN THIS BOOKLET

2.71. Final examination. 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS PLEASE RETURN THIS BOOKLET 2.71 Final examination 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS Name: PLEASE RETURN THIS BOOKLET WITH YOUR SOLUTION SHEET(S) MASSACHUSETTS INSTITUTE OF TECHNOLOGY

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

LASERS. Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam

LASERS. Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam LASERS Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam General Objective To understand the principle, characteristics and types

More information

Fundamentals of Photoelasticity

Fundamentals of Photoelasticity Fundamentals of Photoelasticity Some Useful Definitions How Stress Is Calculated Principles of Photoelasticity Stress Measurement Techniques Strainoptic Technologies, Inc. Some Useful Definitions Residual

More information

Telescopes: Portals of Discovery

Telescopes: Portals of Discovery Telescopes: Portals of Discovery How do light and matter interact? Emission Absorption Transmission Transparent objects transmit light Opaque objects block (absorb) light Reflection or Scattering Reflection

More information

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes Illuminated Reticle Technologies for Rifle Scopes A comparison of the diffraction grating technology with etch-and-fill Illuminated Reticle Technologies for Riflescopes A comparison of the diffraction

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Mask induced polarization effects at high NA

Mask induced polarization effects at high NA Mask induced polarization effects at high NA Andrew Estroff, Yongfa Fan, Anatoly Bourov, Bruce Smith Rochester Institute of Technology, Microelectronic Engineering, Rochester, NY 14623 Philippe Foubert,

More information

Physics Common Assessment Unit 5-8 3rd Nine Weeks

Physics Common Assessment Unit 5-8 3rd Nine Weeks 1) What is the direction of the force(s) that maintain(s) circular motion? A) one force pulls the object inward toward the radial center while another force pushes the object at a right angle to the first

More information

Full-color Subwavelength Printing with Gapplasmonic

Full-color Subwavelength Printing with Gapplasmonic Supporting information for Full-color Subwavelength Printing with Gapplasmonic Optical Antennas Masashi Miyata, Hideaki Hatada, and Junichi Takahara *,, Graduate School of Engineering, Osaka University,

More information

Measurement of EUV scattering from Mo/Si multilayer mirrors

Measurement of EUV scattering from Mo/Si multilayer mirrors Measurement of EUV scattering from Mo/Si multilayer mirrors N. Kandaka, T. Kobayashi, T. Komiya, M. Shiraishi, T. Oshino and K. Murakami Nikon Corp. 3 rd EUVL Symposium Nov. 2-4 2004 (Miyazaki, JAPAN)

More information

Polarized Light. Nikki Truss. Abstract:

Polarized Light. Nikki Truss. Abstract: Polarized Light Nikki Truss 9369481 Abstract: In this experiment, the properties of linearly polarised light were examined. Malus Law was verified using the apparatus shown in Fig. 1. Reflectance of s-polarised

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

High-Resolution. Transmission. Electron Microscopy

High-Resolution. Transmission. Electron Microscopy Part 4 High-Resolution Transmission Electron Microscopy 186 Significance high-resolution transmission electron microscopy (HRTEM): resolve object details smaller than 1nm (10 9 m) image the interior of

More information

Edward S. Rogers Sr. Department of Electrical and Computer Engineering. ECE318S Fundamentals of Optics. Final Exam. April 16, 2007.

Edward S. Rogers Sr. Department of Electrical and Computer Engineering. ECE318S Fundamentals of Optics. Final Exam. April 16, 2007. Edward S. Rogers Sr. Department of Electrical and Computer Engineering ECE318S Fundamentals of Optics Final Exam April 16, 2007 Exam Type: D (Close-book + two double-sided aid sheets + a non-programmable

More information

Direct-Write Deposition Utilizing a Focused Electron Beam

Direct-Write Deposition Utilizing a Focused Electron Beam Direct-Write Deposition Utilizing a Focused Electron Beam M. Fischer, J. Gottsbachner, S. Müller, W. Brezna, and H.D. Wanzenboeck Institute of Solid State Electronics, Vienna University of Technology,

More information

A 0.2 m s -1. B 10 m s -1. C 20 m s -1. D 40 m s -1

A 0.2 m s -1. B 10 m s -1. C 20 m s -1. D 40 m s -1 Q1. Two points on a progressive wave are one-eighth of a wavelength apart. The distance between them is 0.5 m, and the frequency of the oscillation is 10 Hz. What is the minimum speed of the wave? 0.2

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 21 st Annual BACUS Symposium on Photomask Technology SPIE Vol. 4562, pp. 486-495.

More information

LAB 01 X-RAY EMISSION & ABSORPTION

LAB 01 X-RAY EMISSION & ABSORPTION LAB 0 X-RAY EMISSION & ABSORPTION REPORT BY: TEAM MEMBER NAME: Ashley Tsai LAB SECTION No. 05 GROUP 2 EXPERIMENT DATE: Feb., 204 SUBMISSION DATE: Feb. 8, 204 Page of 3 ABSTRACT The goal of this experiment

More information

Waveplate analyzer using binary magneto-optic rotators

Waveplate analyzer using binary magneto-optic rotators Waveplate analyzer using binary magneto-optic rotators Xiaojun Chen 1, Lianshan Yan 1, and X. Steve Yao 1, 1. General Photonics Corp. Chino, CA, 91710, USA Tel: 909-590-5473 Fax: 909-90-5535. Polarization

More information

Transmission Electron Microscopy

Transmission Electron Microscopy L. Reimer H. Kohl Transmission Electron Microscopy Physics of Image Formation Fifth Edition el Springer Contents 1 Introduction... 1 1.1 Transmission Electron Microscopy... 1 1.1.1 Conventional Transmission

More information