Leakage and Dynamic Glitch Power Minimization Using Integer Linear Programming for V th Assignment and Path Balancing

Size: px
Start display at page:

Download "Leakage and Dynamic Glitch Power Minimization Using Integer Linear Programming for V th Assignment and Path Balancing"

Transcription

1 Leakage and Dynamc Gltch Power Mnmzaton Usng Integer Lnear Programmng for V th Assgnment and Path Balancng Yuanln Lu and Vshwan D. Agrawal Auburn Unversty, Department of ECE, Auburn, AL 36849, USA luyuanl@auburn.edu, vagrawal@eng.auburn.edu Abstract. Ths paper presents a novel technque, whch uses nteger lnear programmng (ILP) to mnmze the leakage power n a dual-threshold statc CMOS crcut by optmally placng hgh-threshold devces and smultaneously reduces the gltch power usng the smallest number of delay elements to balance path delays. The constrant set sze for the ILP model s lnear n the crcut sze. Expermental results show 96%, 40% and 70% reducton of leakage, dynamc and total power, respectvely, for the benchmark crcut C755 mplemented n the 70nm BPTM CMOS technology. 1 Introducton In the past, the dynamc power has domnated total power dsspaton of a CMOS devce. Snce dynamc power s proportonal to the square of the power supply voltage, lowerng the voltage reduces the power dsspaton. owever, to mantan or ncrease the performance of a crcut, ts threshold voltage should be decreased by the same factor, whch ncreases the subthreshold (leakage) current of transstors exponentally [1]. Therefore, wth the trend of CMOS technology scalng, leakage power s becomng a domnant contrbutor to the total power consumpton. To reduce leakage power, a large number of technques have been proposed, ncludng transstor szng, mult-vth, dual-vth, optmal standby nput vector selecton, stackng transstors, etc. Dual-V th assgnment [-6] s an effcent technque to decrease leakage power. We et al. [3] descrbe an algorthm to fnd the optmal hgh V th for dfferent crcut structure. owever, n realty, the avalable threshold voltages n a process are predetermned and a desgner does not have the choce of arbtrary V th. The back trace algorthm [3] used to determne the dual-v th assgnment also has a dsadvantage. Because the back trace search drecton for non-crtcal paths s always from prmary outputs to prmary nputs, the gates close to the prmary outputs always have the prorty to be assgned hgh V th, even though ther leakage power reducton due to V th ncrease may be smaller than that of gates close to the prmary nputs. Ths algorthm only gves a possble soluton, not an optmal one. On the contrary, usng ILP, a global optmzaton soluton can be easly acheved. Nguyen et al. [6] use lnear programmng (LP) to mnmze the leakage and dynamc power by gate szng and dual-threshold voltage devces assgnment. owever, they have not consdered the gltch power, whch can account for 0%-40% of the dynamc swtchng power [7]. To elmnate these unnec- V. Palouras, J. Vounckx, and D. Verkest (Eds.): PATMOS 005, LNCS 378, pp. 17-6, Sprnger-Verlag Berln edelberg 005

2 18 Yuanln Lu and Vshwan D. Agrawal essary transtons, a desgner can adopt technques of hazard flter [7] or path balance [8]. Raja et al. [8] have proposed a technque whch uses a reduced constrant set lnear program (LP) to elmnate dynamc gltch power. The present work was motvated by the above research. A new ILP model s proposed to mnmze leakage power by dual-v th assgnment and smultaneously elmnate dynamc gltch power by nsertng zero-subthreshold delay elements to balance path delays. To our knowledge, no prevous work on optmzng dynamc and statc power has adopted such a combned approach. Ths ILP method s specfcally devsed wth a set of constrants whose sze s lnear n the number of gates. Thus, large crcuts can be handled. The ILP ether holds the crtcal path delay correspondng to the all-low V th gates, or allows an ncrease by a user-specfed amount. As a result, a tradeoff between power savng and performance degradaton can be allowed. To deal wth the complextes of delay models and leakage calculaton, two look up tables for the delay and leakage current are constructed n advance for each cell. Ths greatly smplfes the optmzaton procedure. To further reduce power, other approaches such as gate szng can be easly mplemented by extendng our cell lbrary and look up tables. Leakage and Delay The leakage current of a transstor s manly the result of gate leakage, reverse bas PN juncton leakage and subthreshold leakage. Compared to the subthreshold leakage, the reverse bas PN juncton leakage can be gnored. The subthreshold leakage current s the weak nverson current between source and dran of an MOS transstor when the gate voltage s less than the threshold voltage [1]. Subthreshold current s gven by []: I sub = u C 0 ox W L eff eff 1.8 T e V Vgs V exp nvt th V 1 exp VT where u 0 s the zero bas electron moblty, and n s the subthreshold slope coeffcent. Due to the exponental relaton between V th and I sub, we can ncrease the V th to reduce the subthreshold current sharply. Our SPICE smulaton results on the leakage current of a two-nput NAND gate show that, for 70nm CMOS technology (Vdd=1V, Low V th =0.0V, gh V th =0.3V), the leakage current n a hgh V th gate s only about % of the leakage current n a low V th gate. If all gates n a CMOS crcut could be assgned the hgh threshold voltage, the total leakage power consumed n the actve and standby modes can be reduced by 98%, whch s a sgnfcant mprovement. owever, the gate delay ncreases wth the ncrease of V th. From SPICE smulaton result for a NAND gate delay when the output fans out to a specfed number of nverters, we observe that the gate delay ncreases 30%-40% by ncreasng V th form 0.0V to 0.3V. Thus, we can make tradeoffs between leakage power and performance, leadng to a sgnfcant reducton n the leakage power whle sacrfcng only some or no crcut performance. Such a tradeoff s made n the ILP. Results n Secton 4.1 show that the ds (1)

3 Leakage and Dynamc Gltch Power Mnmzaton Usng Integer Lnear Programmng 19 leakage power of all ISCAS85 benchmark crcuts can be reduced by over 90% f the delay of the crtcal path s allowed to ncrease by 5%. 3 Integer Lnear Programmng To mnmze the leakage power, we use an ILP model to determne the optmal assgnment of V th whle controllng any sacrfce n performance. Due to the constrants on the maxmum path delay, all the gates on the crtcal path are assgned low V th. The V th assgnments of gates on the non-crtcal path are determned jontly by ther delay ncreases and leakage reductons f hgh V th were assgned to them. To elmnate the gltch power, addtonal ILP constrants determne the postons and values of the delay elements to be nserted to balance path delays. Unlke the heurstc algorthms [-5], ths ILP gves us a globally optmal soluton. We can easly make a tradeoff between power reducton and performance degradaton by changng the constrant for the maxmum path delay n the ILP model. 3.1 ILP for Leakage Power reducton Raja et al. [8] proposed a LP formulaton to reduce dynamc gltch power by a reduced constrant set lnear program whose number of constrants s proportonal to the total number of gates. We frst modfy ther formulaton nto an nteger lnear program (ILP) to reduce subthreshold leakage power as descrbed below Varables Each gate has two varables. T : the latest tme at whch the output of gate can produce an event after the occurrence of an nput event at prmary nputs of the crcut. X : the assgnment of low or hgh V th to gate ; X s an nteger whch can only be 0 or 1. A value 1 means that gate s assgned low V th, and 0 means that gate s assgned hgh V th Objectve functon In a CMOS statc crcut, the leakage power s P = V I () leak dd leak If we know the leakage currents of all gates, the leakage power can be easly obtaned. Therefore, the objectve functon for ths ILP s to mnmze the sum of all gate leakage currents, whch s gven by ( X I L + ( X ) I ) Mn 1 (3) I L s the leakage current of gate wth low V th; I s the leakage current of gate wth hgh V th;

4 0 Yuanln Lu and Vshwan D. Agrawal The leakage current of a gate depends on the nput vector. Therefore, we make a leakage current look up table, whch s ndexed by the gate type and the nput vector. I L and I can both be searched from ths look-up table. The values n ths lookup table, as found by Smart-SPICE smulaton, are the total leakage currents ncludng subthreshold and gate leakages of a cell under specfc nput vector condtons Constrants Constrants for each gate: j L ( X ) D T T + X D + 1 (4) 0 X 1 (5) Constrant (5) assgns ether low V th (X =1) or hgh V th ( X =0) to gate ; D s the delay of gate wth hgh V th ; D L s the delay of gate wth low V th. Wth the ncrease of the fanout, the delay of the gate also ncreases proportonately. Therefore, a second look-up table s constructed and specfes the delay for gven gate type and fanout number. D and D can be searched from ths look-up table ndexed by the gate type and the number of fanout of gate. We explan constrant (4) usng the crcut of Fgure 1. Let us assume that all prmary nput (PI) sgnals on the left arrve at the same tme. For gate, one nput s from gate 0 and the other nput s drectly from a PI. Its constrants correspondng to nequalty (4) are gven by Fg. 1. Crcut for explanng ILP constrants. + ( X ) D ( X ) D T T + X D (6) T (7) 0 L X DL + 1 T that satsfes both nequaltes s the latest tme at whch an event (sgnal change) may occur at the output of gate. Max delay constrants for prmary outputs (PO): T T max (8) T max can be the crtcal path delay when all the gates are assgned low V th or the maxmum delay specfed by the desgner. We use a smplfed ILP model, whose descrpton s omtted here, to fnd the delay T c of the crtcal path. If T max equals T c the objectve functon of our ILP model wll be to mnmze the total leakage current

5 Leakage and Dynamc Gltch Power Mnmzaton Usng Integer Lnear Programmng 1 wthout affectng the crcut performance. By makng T max larger than T c, we can further reduce leakage power wth some performance compromse, and thus make a tradeoff between leakage power consumpton and performance. 3. ILP for Leakage Power and Dynamc Gltch Power reducton Gltches can account for 0%-40% dynamc power [7]. To elmnate these unnecessary transtons, a desgner can adopt technques of hazard flter [7] or path balance [8]. Combned wth the method of path balance, the technque of Secton 3.1 can be extended to reduce leakage power and dynamc gltch power smultaneously. Such an extended ILP model s developed below Varables Each gate has four varables: X : the assgnment of low or hgh V th to gate ; X s an nteger whch can only be 0 or 1. A value 1 means that gate s assgned low V th, and 0 means that gate s assgned hgh V th. T : the latest tme at whch the output of gate can produce an event after the occurrence of an nput event at prmary nputs of the crcut. t : the earlest tme at whch the output of gate can produce an event after the occurrence of an nput event at prmary nputs of the crcut. d,j : the delay of the nserted buffer at the j th nput path of gate. 3.. Objectve Functon The objectve functon for ths ILP s to mnmze the sum of all gate leakage currents and the sum of all nserted delays: Mn I leak + d, j j = Mn ( X + ) + I L (1 X ) I d, j j Besdes the objectve to mnmze the leakage power consumpton whch s the same as Equaton (3), an addtonal objectve functon s to mnmze the gltch power. We nsert mnmal delays to balance path delays and elmnate gltches. Ths leads to another objectve functon: Mn, j d j Our objectve functon (9) combnes objectves (3) and (10). When mplementng these delay elements, we use transmsson gates wth only the gate leakage, whch s much smaller than the subthreshold leakage and can be gnored. (9) (10) 3..3 Constrants Constrants for each gate: 0 X 1 (11) j ( X D + (1 X D ) T >= T + d ) j + (1), L

6 Yuanln Lu and Vshwan D. Agrawal j ( X D + (1 X D ) t <= t + d ) L j + (13), L X D + ( 1 X ) D >= T t (14) where, s the the gate on whch constrants are set, and j s the the gate whose output s gate s fann. Constrants (1-14) ensure that gate s nertal delay s always larger than the delay dfference of ts nput paths by nsertng some delays on ts faster nput paths. Therefore, gltches can be elmnated. We explan constrants (1-14) usng the crcut shown n Fgure 1. Let us assume that all prmary nput (PI) sgnals on the left arrve at the same tme. For gate, one nput s from gate 0 and the other nput s drectly from a PI. Its constrants correspondng to nequalty (1-14) are: X DL + ( X ) D DL + ( X ) D X DL + ( X ) D DL + ( X) D ( X ) D T T T0 + 1 (15) T 0 + X 1 (16) t t (17) t 0 + X 1 (18) X DL + 1 t (19) Tme T that satsfes both nequaltes (15) and (16) s the latest tme at whch an event (sgnal change) may occur at the output of gate. Tme t s the earlest tme at whch an event may occur at the output of gate, f t satsfes both nequaltes (17) and (18). Constrant (19) means that the dfference of T and t, whch equals the delay dfference between two nput paths, s smaller than gate s nertal delay, whch may be ether low V th gate delay, D L, or hgh V th gate delay, D. Max delay constrants for prmary outputs (PO): T T max (0) As n Secton 3.1, T max can be the maxmum delay specfed by the crcut desgner or the crtcal path delay. When we use the ILP model to smultaneously mnmze leakage power wth dual- V th assgnments and reduce dynamc power by balancng path delays wth nserted delay elements, the optmzed verson for the crcut n Fgure s shown n Fgure 3. The label n or near a gate s ts nertal delay. Three black shaded gates are assgned hgh V th snce they are not on the crtcal path and ther delay ncreases do not affect the crtcal path delay. Two delay elements (grey shaded) are nserted to elmnate gltches. Although delay elements, f mplemented as buffer gates, may consume addtonal leakage power, we may assgn hgh V th to them. Therefore, the three low V th gates (wthout shadng) on the crtcal path stll domnate the total leakage power. Actually, n our desgn, delay elements are mplemented by CMOS transmsson gates that have no subthreshold leakage. Transmsson gates also consume very lttle dynamc power snce they are not drven by any supply rals [9].

7 Leakage and Dynamc Gltch Power Mnmzaton Usng Integer Lnear Programmng 3 Fg.. Unoptmzed crcut wth potental gltches Fg. 3. Optmzed crcut 4 Results To study the ncreasngly domnant effect of leakage power dsspaton, we use the BPTM 70nm CMOS technology. Low V th for NMOS and PMOS are 0.0V and - 0.V. gh V th for NMOS and PMOS are 0.3V and -0.34V, respectvely. We regenerate the netlsts of all ISCAS 85 benchmark crcuts usng a cell lbrary n whch the maxmum gate fann s 5. Two look-up tables for gate delays and leakage currents, respectvely, of each type of cell are constructed usng SPICE smulaton. A C program parses the netlst and generates the constrant set (see Secton 3) for the CPLEX ILP solver n the AMPL software package [10]. CPLEX then gve the optmal V th assgnment as well as the value and poston of every delay element. 4.1 Leakage Power Reducton The results of the leakage power reducton for ISCAS 85 benchmark crcuts are shown n Table 1. The numbers of gates n column are for the gate lbrary used and dffer from those for orgnal benchmark netlsts. T c n column 3 s the mnmum delay of the crtcal path when all gates have low V th. Column 4 shows the leakage reducton (%) for optmzaton wthout sacrfcng any performance. Column 6 shows the leakage reducton wth 5% performance sacrfce. The CPU tmes shown are for the ILP runs and are, as expected, lnear n crcut sze snce both number of varables and number of constrants are lnear n crcut sze. From Table 1, we see that by V th reassgnment the leakage current of most benchmark crcuts s reduced by more than 60% wthout any performance sacrfce (column 4). For several large benchmarks leakage s reduced by 90% due to a smaller percentage of gates beng on the crtcal path. owever, for some hghly symmetrcal crcuts, whch have many crtcal paths, such as C499 and C1355, the leakage reducton s less. Column 6 shows that the leakage reducton reaches the hghest level, around 98%, wth some performance sacrfce. The curves n Fg. 4. show the relaton between normalzed leakage power and normalzed crtcal path delay n a dual-v th process. Unoptmzed crcuts wth all low V th gates are at pont (1,1) and have the largest leakage power and smallest delay. Wth optmal V th assgnment, leakage power can be reduced sharply by 60% (from pont(1,1) to pont(1,0.4)) to 90% (from pont(1,1) to pont(1,0.1)), dependng on the

8 4 Yuanln Lu and Vshwan D. Agrawal crcut, wthout sacrfcng any performance. When normalzed T max becomes greater than 1,.e., we sacrfce some performance, leakage power further decreases n a slower reducton trend. When the delay ncrease s more than 30%, the leakage reducton saturates at about 98%. Therefore, Fgure 4 provdes a gude for makng a tradeoff between leakage and power. Ckt. Table 1. Leakage reducton due to dual-v th reassgnment 7 ). Gates # T c (ns) Leakage Red. (%) (T max=t c) Sun OS 5.7 CPU secs. Leakage Red. (%) (T max=1.5t c) Sun OS 5.7 CPU secs. C C C C C C C C C C Normalzed Leakage Power C43 C880 C Normalzed Crtcal Path Delay Fg. 4. Tradeoff between leakage power and performance. 4. Leakage, Dynamc Gltch and Total Power Reducton The leakage current strongly depends on the temperature. Our SPICE smulaton shows that for a -nput NAND gate wth low V th, when temperature ncreases from 7 to 90, the leakage current ncreases by a factor 10X. For a -nput NAND gate wth hgh V th, ths factor s 0X. The leakage n the look-up table s from smulaton for a 7 operaton. To manfest the domnant effect of leakage power, we estmate the leakage currents at 90 by multplyng the total leakage current obtaned from

9 Leakage and Dynamc Gltch Power Mnmzaton Usng Integer Lnear Programmng 5 CPLEX [10] by a factor between 10X and 0X as determned by the proporton of low to hgh threshold transstors. The dynamc power s estmated by a gltch flter event drven smulator, and s gven by P dyn E = T dyn dd ( T ) 0.5 Cnv V = c T FO where C nv s the gate capactance of one nverter, T s the number of transtons at gate s output when 1,000 random test vectors are appled at PIs, and FO s the number of fanouts. Vector perod s assumed to be 0% greater than the crtcal path delay, T c. By smulatng each gate s transton number, we can estmate the gltch power reducton. Table. (1) Leakage, dynamc and total power reducton comparson for unoptmzed and optmzed crcuts 90 ). Ckt. P leak1 P leak Leak. Red. (%) P dyn1 P dyn Dyn. Red. (%) P total1 P total Total Red. (%) C C C C C C C C C C We compare the leakage power and dynamc power at 90 n Table. The suffx-1 means the unoptmzed crcut whch has all the low threshold gates and the largest gltch power, and suffx- means the optmzed crcut whose V th has already been optmmally assgned and most of the gltches have been elmnated. We observe that for 70nm BPTM CMOS technology at 90, unoptmzed leakage power (column ) of some large ISCAS 85 benchmark crcuts can account for about one half or more of the total power consumpton (column 8). Wth V th reassgnment, the optmzed leakage power of most benchmark crcuts s reduced to less than 10%. Wth further gltch (dynamc) power reducton, total power reductons for most crcuts are more than 50%. Some have a total reducton of up to 70%. 5 Concluson A new technque to reduce the leakage and gltch dynamc power smultaneously n a dual-v th process s proposed n ths paper. An nteger lnear programmng (ILP) model

10 6 Yuanln Lu and Vshwan D. Agrawal s generated from the crcut netlst and the AMPL CPLEX [10] solver determnes the optmal V th assgnments for leakage power mnmzaton and the delays and postons of nserted delay elements for gltch power reducton. The expermental results for ISCAS 85 benchmark show reductons of 0%-96% n leakage, 8%-76% n dynamc (gltch) and 7%-76% n total power. We beleve some of the other technques, such as gate szng and dual power supply can also be ncorporated n the ILP formulaton. References [1] L. We, K. Roy and V. K. De, Low Voltage Low Power CMOS Desgn Technques for Deep Submcron ICs, Proc. 13th Internatonal Conf. VLSI Desgn, 000, pp [] M. Ketkar and S. S. Sapatnekar, Standby Power Optmzaton va Transstor Szng and Dual Threshold Voltage Assgnment, Proc. ICCAD, 00, pp [3] L. We, Z. Chen, M. Johnson and K. Roy, Desgn and Optmzaton of Low Voltage gh Performance Dual Threshold CMOS Crcuts, Proc. DAC, 1998, pp [4] L. We, Z. Chen, K. Roy, Y. Ye and V. De, Mxed-Vth (MVT) CMOS Crcut Desgn Methodology for Low Power Applcatons, Proc. DAC, 1999, pp [5] Q. Wang, and S. B. K. Vrudhula, "Statc Power Optmzaton of Deep Submcron CMOS Crcuts for Dual V T Technology," Proc, ICCAD, 1998, pp [6] D. Nguyen, A. Davare, M. Orshansky, D. Chnney, B. Thompson, and K. Keutzer, Mnmzaton of Dynamc and Statc Power Through Jont Assgnment of Threshold Voltages and Szng Optmzaton, Proc. ISLPED, 003, pp [7] V. D. Agrawal, Low Power Desgn by azard Flterng, Proc. 10 tth Internatonal Conference on VLSI Desgn, 1997, pp [8] T. Raja, V. D. Agrawal and M. L. Bushnell, Mnmum Dynamc Power CMOS Crcut Desgn by a Reduced Constrant Set Lnear Program, Proc. 16 tth Internatonal Conference on VLSI Desgn, 003, pp [9] N. R. Mahapatra, S. V. Garmella. A. Tarbeen, An Emprcal and Analytcal Comparson of Delay Elements and a New Delay Element Desgn, Proc. IEEE Computer Socety workshop on VLSI, 000, pp [10] R. Fourer, D. M. Gay, and B. W. Kernghan, AMPL: A Modelng Language for Mathematcal Programmng. South San Francsco, Calforna: The Scentfc Press, 1993

POWER AND PERFORMANCE OPTIMIZATION OF STATIC CMOS CIRCUITS WITH PROCESS VARIATION

POWER AND PERFORMANCE OPTIMIZATION OF STATIC CMOS CIRCUITS WITH PROCESS VARIATION POWER AND PERFORMANCE OPTIMIZATION OF STATIC CMOS CIRCUITS WITH PROCESS VARIATION Except where reference s made to the work of others, the work descrbed n ths dssertaton s my own or was done n collaboraton

More information

Effective Power Optimization combining Placement, Sizing, and Multi-Vt techniques

Effective Power Optimization combining Placement, Sizing, and Multi-Vt techniques Effectve Power Optmzaton combnng Placement, Szng, and Mult-Vt technques Tao Luo, Davd Newmark*, and Davd Z Pan Department of Electrcal and Computer Engneerng, Unversty of Texas at Austn *Advanced Mcro

More information

Statistical Leakage and Timing Optimization for Submicron Process Variation

Statistical Leakage and Timing Optimization for Submicron Process Variation Statstcal Leakage and Tmng Optmzaton for Submcron Process araton Yuanln Lu and shwan. grawal uburn Unversty epartment of Electrcal and omputer Engneerng uburn, L 36849, US luyuanl@auburn.edu, vagrawal@eng.auburn.edu

More information

Coarse-Grain MTCMOS Sleep

Coarse-Grain MTCMOS Sleep Coarse-Gran MTCMOS Sleep Transstor Szng Usng Delay Budgetng Ehsan Pakbazna and Massoud Pedram Unversty of Southern Calforna Dept. of Electrcal Engneerng DATE-08 Munch, Germany Leakage n CMOS Technology

More information

Clock-Gating and Its Application to Low Power Design of Sequential Circuits

Clock-Gating and Its Application to Low Power Design of Sequential Circuits Clock-Gatng and Its Applcaton to Low Power Desgn of Sequental Crcuts ng WU Department of Electrcal Engneerng-Systems, Unversty of Southern Calforna Los Angeles, CA 989, USA, Phone: (23)74-448 Massoud PEDRAM

More information

Interconnect Optimization for Deep-Submicron and Giga-Hertz ICs

Interconnect Optimization for Deep-Submicron and Giga-Hertz ICs Interconnect Optmzaton for Deep-Submcron and Gga-Hertz ICs Le He http://cadlab.cs.ucla.edu/~hele UCLA Computer Scence Department Los Angeles, CA 90095 Outlne Background and overvew LR-based STIS optmzaton

More information

Statistical Circuit Optimization Considering Device and Interconnect Process Variations

Statistical Circuit Optimization Considering Device and Interconnect Process Variations Statstcal Crcut Optmzaton Consderng Devce and Interconnect Process Varatons I-Jye Ln, Tsu-Yee Lng, and Yao-Wen Chang The Electronc Desgn Automaton Laboratory Department of Electrcal Engneerng Natonal Tawan

More information

Variability-Driven Module Selection with Joint Design Time Optimization and Post-Silicon Tuning

Variability-Driven Module Selection with Joint Design Time Optimization and Post-Silicon Tuning Asa and South Pacfc Desgn Automaton Conference 2008 Varablty-Drven Module Selecton wth Jont Desgn Tme Optmzaton and Post-Slcon Tunng Feng Wang, Xaoxa Wu, Yuan Xe The Pennsylvana State Unversty Department

More information

Simultaneous Optimization of Berth Allocation, Quay Crane Assignment and Quay Crane Scheduling Problems in Container Terminals

Simultaneous Optimization of Berth Allocation, Quay Crane Assignment and Quay Crane Scheduling Problems in Container Terminals Smultaneous Optmzaton of Berth Allocaton, Quay Crane Assgnment and Quay Crane Schedulng Problems n Contaner Termnals Necat Aras, Yavuz Türkoğulları, Z. Caner Taşkın, Kuban Altınel Abstract In ths work,

More information

Module 3 LOSSY IMAGE COMPRESSION SYSTEMS. Version 2 ECE IIT, Kharagpur

Module 3 LOSSY IMAGE COMPRESSION SYSTEMS. Version 2 ECE IIT, Kharagpur Module 3 LOSSY IMAGE COMPRESSION SYSTEMS Verson ECE IIT, Kharagpur Lesson 6 Theory of Quantzaton Verson ECE IIT, Kharagpur Instructonal Objectves At the end of ths lesson, the students should be able to:

More information

A FAST HEURISTIC FOR TASKS ASSIGNMENT IN MANYCORE SYSTEMS WITH VOLTAGE-FREQUENCY ISLANDS

A FAST HEURISTIC FOR TASKS ASSIGNMENT IN MANYCORE SYSTEMS WITH VOLTAGE-FREQUENCY ISLANDS Shervn Haamn A FAST HEURISTIC FOR TASKS ASSIGNMENT IN MANYCORE SYSTEMS WITH VOLTAGE-FREQUENCY ISLANDS INTRODUCTION Increasng computatons n applcatons has led to faster processng. o Use more cores n a chp

More information

A PROBABILITY-DRIVEN SEARCH ALGORITHM FOR SOLVING MULTI-OBJECTIVE OPTIMIZATION PROBLEMS

A PROBABILITY-DRIVEN SEARCH ALGORITHM FOR SOLVING MULTI-OBJECTIVE OPTIMIZATION PROBLEMS HCMC Unversty of Pedagogy Thong Nguyen Huu et al. A PROBABILITY-DRIVEN SEARCH ALGORITHM FOR SOLVING MULTI-OBJECTIVE OPTIMIZATION PROBLEMS Thong Nguyen Huu and Hao Tran Van Department of mathematcs-nformaton,

More information

NUMERICAL DIFFERENTIATION

NUMERICAL DIFFERENTIATION NUMERICAL DIFFERENTIATION 1 Introducton Dfferentaton s a method to compute the rate at whch a dependent output y changes wth respect to the change n the ndependent nput x. Ths rate of change s called the

More information

Resource Allocation with a Budget Constraint for Computing Independent Tasks in the Cloud

Resource Allocation with a Budget Constraint for Computing Independent Tasks in the Cloud Resource Allocaton wth a Budget Constrant for Computng Independent Tasks n the Cloud Wemng Sh and Bo Hong School of Electrcal and Computer Engneerng Georga Insttute of Technology, USA 2nd IEEE Internatonal

More information

The Minimum Universal Cost Flow in an Infeasible Flow Network

The Minimum Universal Cost Flow in an Infeasible Flow Network Journal of Scences, Islamc Republc of Iran 17(2): 175-180 (2006) Unversty of Tehran, ISSN 1016-1104 http://jscencesutacr The Mnmum Unversal Cost Flow n an Infeasble Flow Network H Saleh Fathabad * M Bagheran

More information

Generalized Linear Methods

Generalized Linear Methods Generalzed Lnear Methods 1 Introducton In the Ensemble Methods the general dea s that usng a combnaton of several weak learner one could make a better learner. More formally, assume that we have a set

More information

Run-time Active Leakage Reduction By Power Gating And Reverse Body Biasing: An Energy View

Run-time Active Leakage Reduction By Power Gating And Reverse Body Biasing: An Energy View Run-tme Actve Leakage Reducton By Power Gatng And Reverse Body Basng: An Energy Vew Hao Xu, Ranga Vemur and Wen-Ben Jone Department of Electrcal and Computer Engneerng, Unversty of Cncnnat Cncnnat, Oho

More information

Module 9. Lecture 6. Duality in Assignment Problems

Module 9. Lecture 6. Duality in Assignment Problems Module 9 1 Lecture 6 Dualty n Assgnment Problems In ths lecture we attempt to answer few other mportant questons posed n earler lecture for (AP) and see how some of them can be explaned through the concept

More information

Problem Set 9 Solutions

Problem Set 9 Solutions Desgn and Analyss of Algorthms May 4, 2015 Massachusetts Insttute of Technology 6.046J/18.410J Profs. Erk Demane, Srn Devadas, and Nancy Lynch Problem Set 9 Solutons Problem Set 9 Solutons Ths problem

More information

Appendix B: Resampling Algorithms

Appendix B: Resampling Algorithms 407 Appendx B: Resamplng Algorthms A common problem of all partcle flters s the degeneracy of weghts, whch conssts of the unbounded ncrease of the varance of the mportance weghts ω [ ] of the partcles

More information

Simultaneous Device and Interconnect Optimization

Simultaneous Device and Interconnect Optimization Smultaneous Devce and Interconnect Optmaton Smultaneous devce and wre sng Smultaneous buffer nserton and wre sng Smultaneous topology constructon, buffer nserton and wre sng WBA tree (student presentaton)

More information

Chapter - 2. Distribution System Power Flow Analysis

Chapter - 2. Distribution System Power Flow Analysis Chapter - 2 Dstrbuton System Power Flow Analyss CHAPTER - 2 Radal Dstrbuton System Load Flow 2.1 Introducton Load flow s an mportant tool [66] for analyzng electrcal power system network performance. Load

More information

find (x): given element x, return the canonical element of the set containing x;

find (x): given element x, return the canonical element of the set containing x; COS 43 Sprng, 009 Dsjont Set Unon Problem: Mantan a collecton of dsjont sets. Two operatons: fnd the set contanng a gven element; unte two sets nto one (destructvely). Approach: Canoncal element method:

More information

Estimating Delays. Gate Delay Model. Gate Delay. Effort Delay. Computing Logical Effort. Logical Effort

Estimating Delays. Gate Delay Model. Gate Delay. Effort Delay. Computing Logical Effort. Logical Effort Estmatng Delas Would be nce to have a back of the envelope method for szng gates for speed Logcal Effort ook b Sutherland, Sproull, Harrs Chapter s on our web page Gate Dela Model Frst, normalze a model

More information

Note 10. Modeling and Simulation of Dynamic Systems

Note 10. Modeling and Simulation of Dynamic Systems Lecture Notes of ME 475: Introducton to Mechatroncs Note 0 Modelng and Smulaton of Dynamc Systems Department of Mechancal Engneerng, Unversty Of Saskatchewan, 57 Campus Drve, Saskatoon, SK S7N 5A9, Canada

More information

An Interactive Optimisation Tool for Allocation Problems

An Interactive Optimisation Tool for Allocation Problems An Interactve Optmsaton ool for Allocaton Problems Fredr Bonäs, Joam Westerlund and apo Westerlund Process Desgn Laboratory, Faculty of echnology, Åbo Aadem Unversty, uru 20500, Fnland hs paper presents

More information

TOPICS MULTIPLIERLESS FILTER DESIGN ELEMENTARY SCHOOL ALGORITHM MULTIPLICATION

TOPICS MULTIPLIERLESS FILTER DESIGN ELEMENTARY SCHOOL ALGORITHM MULTIPLICATION 1 2 MULTIPLIERLESS FILTER DESIGN Realzaton of flters wthout full-fledged multplers Some sldes based on support materal by W. Wolf for hs book Modern VLSI Desgn, 3 rd edton. Partly based on followng papers:

More information

( ) = ( ) + ( 0) ) ( )

( ) = ( ) + ( 0) ) ( ) EETOMAGNETI OMPATIBIITY HANDBOOK 1 hapter 9: Transent Behavor n the Tme Doman 9.1 Desgn a crcut usng reasonable values for the components that s capable of provdng a tme delay of 100 ms to a dgtal sgnal.

More information

Structure and Drive Paul A. Jensen Copyright July 20, 2003

Structure and Drive Paul A. Jensen Copyright July 20, 2003 Structure and Drve Paul A. Jensen Copyrght July 20, 2003 A system s made up of several operatons wth flow passng between them. The structure of the system descrbes the flow paths from nputs to outputs.

More information

College of Computer & Information Science Fall 2009 Northeastern University 20 October 2009

College of Computer & Information Science Fall 2009 Northeastern University 20 October 2009 College of Computer & Informaton Scence Fall 2009 Northeastern Unversty 20 October 2009 CS7880: Algorthmc Power Tools Scrbe: Jan Wen and Laura Poplawsk Lecture Outlne: Prmal-dual schema Network Desgn:

More information

Kernel Methods and SVMs Extension

Kernel Methods and SVMs Extension Kernel Methods and SVMs Extenson The purpose of ths document s to revew materal covered n Machne Learnng 1 Supervsed Learnng regardng support vector machnes (SVMs). Ths document also provdes a general

More information

Computing Correlated Equilibria in Multi-Player Games

Computing Correlated Equilibria in Multi-Player Games Computng Correlated Equlbra n Mult-Player Games Chrstos H. Papadmtrou Presented by Zhanxang Huang December 7th, 2005 1 The Author Dr. Chrstos H. Papadmtrou CS professor at UC Berkley (taught at Harvard,

More information

Single-Facility Scheduling over Long Time Horizons by Logic-based Benders Decomposition

Single-Facility Scheduling over Long Time Horizons by Logic-based Benders Decomposition Sngle-Faclty Schedulng over Long Tme Horzons by Logc-based Benders Decomposton Elvn Coban and J. N. Hooker Tepper School of Busness, Carnege Mellon Unversty ecoban@andrew.cmu.edu, john@hooker.tepper.cmu.edu

More information

An Efficient Algorithm for Statistical Minimization of Total Power under Timing Yield Constraints

An Efficient Algorithm for Statistical Minimization of Total Power under Timing Yield Constraints 19.1 An Effcent Algorthm for Statstcal Mnmzaton of otal Power under mng Yeld Constrants Murar Man 1, Anrudh Devgan 2, and Mchael Orshansky 1 1 Unversty of exas, Austn, 2 Magma Desgn Automaton ABSRAC Power

More information

Amiri s Supply Chain Model. System Engineering b Department of Mathematics and Statistics c Odette School of Business

Amiri s Supply Chain Model. System Engineering b Department of Mathematics and Statistics c Odette School of Business Amr s Supply Chan Model by S. Ashtab a,, R.J. Caron b E. Selvarajah c a Department of Industral Manufacturng System Engneerng b Department of Mathematcs Statstcs c Odette School of Busness Unversty of

More information

Hongyi Miao, College of Science, Nanjing Forestry University, Nanjing ,China. (Received 20 June 2013, accepted 11 March 2014) I)ϕ (k)

Hongyi Miao, College of Science, Nanjing Forestry University, Nanjing ,China. (Received 20 June 2013, accepted 11 March 2014) I)ϕ (k) ISSN 1749-3889 (prnt), 1749-3897 (onlne) Internatonal Journal of Nonlnear Scence Vol.17(2014) No.2,pp.188-192 Modfed Block Jacob-Davdson Method for Solvng Large Sparse Egenproblems Hongy Mao, College of

More information

FUZZY GOAL PROGRAMMING VS ORDINARY FUZZY PROGRAMMING APPROACH FOR MULTI OBJECTIVE PROGRAMMING PROBLEM

FUZZY GOAL PROGRAMMING VS ORDINARY FUZZY PROGRAMMING APPROACH FOR MULTI OBJECTIVE PROGRAMMING PROBLEM Internatonal Conference on Ceramcs, Bkaner, Inda Internatonal Journal of Modern Physcs: Conference Seres Vol. 22 (2013) 757 761 World Scentfc Publshng Company DOI: 10.1142/S2010194513010982 FUZZY GOAL

More information

COS 521: Advanced Algorithms Game Theory and Linear Programming

COS 521: Advanced Algorithms Game Theory and Linear Programming COS 521: Advanced Algorthms Game Theory and Lnear Programmng Moses Charkar February 27, 2013 In these notes, we ntroduce some basc concepts n game theory and lnear programmng (LP). We show a connecton

More information

MACHINE APPLIED MACHINE LEARNING LEARNING. Gaussian Mixture Regression

MACHINE APPLIED MACHINE LEARNING LEARNING. Gaussian Mixture Regression 11 MACHINE APPLIED MACHINE LEARNING LEARNING MACHINE LEARNING Gaussan Mture Regresson 22 MACHINE APPLIED MACHINE LEARNING LEARNING Bref summary of last week s lecture 33 MACHINE APPLIED MACHINE LEARNING

More information

VARIATION OF CONSTANT SUM CONSTRAINT FOR INTEGER MODEL WITH NON UNIFORM VARIABLES

VARIATION OF CONSTANT SUM CONSTRAINT FOR INTEGER MODEL WITH NON UNIFORM VARIABLES VARIATION OF CONSTANT SUM CONSTRAINT FOR INTEGER MODEL WITH NON UNIFORM VARIABLES BÂRZĂ, Slvu Faculty of Mathematcs-Informatcs Spru Haret Unversty barza_slvu@yahoo.com Abstract Ths paper wants to contnue

More information

Novel Pre-Compression Rate-Distortion Optimization Algorithm for JPEG 2000

Novel Pre-Compression Rate-Distortion Optimization Algorithm for JPEG 2000 Novel Pre-Compresson Rate-Dstorton Optmzaton Algorthm for JPEG 2000 Yu-We Chang, Hung-Ch Fang, Chung-Jr Lan, and Lang-Gee Chen DSP/IC Desgn Laboratory, Graduate Insttute of Electroncs Engneerng Natonal

More information

Annexes. EC.1. Cycle-base move illustration. EC.2. Problem Instances

Annexes. EC.1. Cycle-base move illustration. EC.2. Problem Instances ec Annexes Ths Annex frst llustrates a cycle-based move n the dynamc-block generaton tabu search. It then dsplays the characterstcs of the nstance sets, followed by detaled results of the parametercalbraton

More information

A New Design of Multiplier using Modified Booth Algorithm and Reversible Gate Logic

A New Design of Multiplier using Modified Booth Algorithm and Reversible Gate Logic Internatonal Journal of Computer Applcatons Technology and Research A New Desgn of Multpler usng Modfed Booth Algorthm and Reversble Gate Logc K.Nagarjun Department of ECE Vardhaman College of Engneerng,

More information

COMPARISON OF SOME RELIABILITY CHARACTERISTICS BETWEEN REDUNDANT SYSTEMS REQUIRING SUPPORTING UNITS FOR THEIR OPERATIONS

COMPARISON OF SOME RELIABILITY CHARACTERISTICS BETWEEN REDUNDANT SYSTEMS REQUIRING SUPPORTING UNITS FOR THEIR OPERATIONS Avalable onlne at http://sck.org J. Math. Comput. Sc. 3 (3), No., 6-3 ISSN: 97-537 COMPARISON OF SOME RELIABILITY CHARACTERISTICS BETWEEN REDUNDANT SYSTEMS REQUIRING SUPPORTING UNITS FOR THEIR OPERATIONS

More information

A Simple Inventory System

A Simple Inventory System A Smple Inventory System Lawrence M. Leems and Stephen K. Park, Dscrete-Event Smulaton: A Frst Course, Prentce Hall, 2006 Hu Chen Computer Scence Vrgna State Unversty Petersburg, Vrgna February 8, 2017

More information

Lecture 4: Adders. Computer Systems Laboratory Stanford University

Lecture 4: Adders. Computer Systems Laboratory Stanford University Lecture 4: Adders Computer Systems Laboratory Stanford Unversty horowtz@stanford.edu Copyrght 2004 by Mark Horowtz (w/ Fgures from Hgh-Performance Mcroprocessor Desgn IEEE And Fgures from Bora Nkolc 1

More information

Distributed Sleep Transistor Network for Power Reduction

Distributed Sleep Transistor Network for Power Reduction 11.3 Dstrbuted Sleep Transstor Network for Power Reducton Changbo Long ECE Department Unversty of Wsconsn, Madson clong@cae.wsc.edu Le He EE Department UCLA lhe@ee.ucla.edu ABSTRACT Sleep transstors are

More information

MMA and GCMMA two methods for nonlinear optimization

MMA and GCMMA two methods for nonlinear optimization MMA and GCMMA two methods for nonlnear optmzaton Krster Svanberg Optmzaton and Systems Theory, KTH, Stockholm, Sweden. krlle@math.kth.se Ths note descrbes the algorthms used n the author s 2007 mplementatons

More information

LOW BIAS INTEGRATED PATH ESTIMATORS. James M. Calvin

LOW BIAS INTEGRATED PATH ESTIMATORS. James M. Calvin Proceedngs of the 007 Wnter Smulaton Conference S G Henderson, B Bller, M-H Hseh, J Shortle, J D Tew, and R R Barton, eds LOW BIAS INTEGRATED PATH ESTIMATORS James M Calvn Department of Computer Scence

More information

1 Derivation of Rate Equations from Single-Cell Conductance (Hodgkin-Huxley-like) Equations

1 Derivation of Rate Equations from Single-Cell Conductance (Hodgkin-Huxley-like) Equations Physcs 171/271 -Davd Klenfeld - Fall 2005 (revsed Wnter 2011) 1 Dervaton of Rate Equatons from Sngle-Cell Conductance (Hodgkn-Huxley-lke) Equatons We consder a network of many neurons, each of whch obeys

More information

Real-Time Systems. Multiprocessor scheduling. Multiprocessor scheduling. Multiprocessor scheduling

Real-Time Systems. Multiprocessor scheduling. Multiprocessor scheduling. Multiprocessor scheduling Real-Tme Systems Multprocessor schedulng Specfcaton Implementaton Verfcaton Multprocessor schedulng -- -- Global schedulng How are tasks assgned to processors? Statc assgnment The processor(s) used for

More information

Sleep Transistor Distribution in Row-Based MTCMOS Designs

Sleep Transistor Distribution in Row-Based MTCMOS Designs Sleep Transstor Dstrbuton n Row-Based MTCMOS Desgns Chanseok Hwang 1, Peng Rong 2, Massoud Pedram 3 1 Samsung Electroncs, Seoul, South Korea 2 LSI Logc Corp, Mlptas, CA, USA 3 Unversty of Southern Calforna,

More information

Which Separator? Spring 1

Which Separator? Spring 1 Whch Separator? 6.034 - Sprng 1 Whch Separator? Mamze the margn to closest ponts 6.034 - Sprng Whch Separator? Mamze the margn to closest ponts 6.034 - Sprng 3 Margn of a pont " # y (w $ + b) proportonal

More information

Copyright 2017 by Taylor Enterprises, Inc., All Rights Reserved. Adjusted Control Limits for P Charts. Dr. Wayne A. Taylor

Copyright 2017 by Taylor Enterprises, Inc., All Rights Reserved. Adjusted Control Limits for P Charts. Dr. Wayne A. Taylor Taylor Enterprses, Inc. Control Lmts for P Charts Copyrght 2017 by Taylor Enterprses, Inc., All Rghts Reserved. Control Lmts for P Charts Dr. Wayne A. Taylor Abstract: P charts are used for count data

More information

On the Multicriteria Integer Network Flow Problem

On the Multicriteria Integer Network Flow Problem BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 5, No 2 Sofa 2005 On the Multcrtera Integer Network Flow Problem Vassl Vasslev, Marana Nkolova, Maryana Vassleva Insttute of

More information

An Optimization Model for Routing in Low Earth Orbit Satellite Constellations

An Optimization Model for Routing in Low Earth Orbit Satellite Constellations An Optmzaton Model for Routng n Low Earth Orbt Satellte Constellatons A. Ferrera J. Galter P. Mahey Inra Inra Inra Afonso.Ferrera@sopha.nra.fr Jerome.Galter@nra.fr Phlppe.Mahey@sma.fr G. Mateus A. Olvera

More information

CHAPTER 5 NUMERICAL EVALUATION OF DYNAMIC RESPONSE

CHAPTER 5 NUMERICAL EVALUATION OF DYNAMIC RESPONSE CHAPTER 5 NUMERICAL EVALUATION OF DYNAMIC RESPONSE Analytcal soluton s usually not possble when exctaton vares arbtrarly wth tme or f the system s nonlnear. Such problems can be solved by numercal tmesteppng

More information

Chapter 5. Solution of System of Linear Equations. Module No. 6. Solution of Inconsistent and Ill Conditioned Systems

Chapter 5. Solution of System of Linear Equations. Module No. 6. Solution of Inconsistent and Ill Conditioned Systems Numercal Analyss by Dr. Anta Pal Assstant Professor Department of Mathematcs Natonal Insttute of Technology Durgapur Durgapur-713209 emal: anta.bue@gmal.com 1 . Chapter 5 Soluton of System of Lnear Equatons

More information

Resource Allocation and Decision Analysis (ECON 8010) Spring 2014 Foundations of Regression Analysis

Resource Allocation and Decision Analysis (ECON 8010) Spring 2014 Foundations of Regression Analysis Resource Allocaton and Decson Analss (ECON 800) Sprng 04 Foundatons of Regresson Analss Readng: Regresson Analss (ECON 800 Coursepak, Page 3) Defntons and Concepts: Regresson Analss statstcal technques

More information

Lecture 10 Support Vector Machines II

Lecture 10 Support Vector Machines II Lecture 10 Support Vector Machnes II 22 February 2016 Taylor B. Arnold Yale Statstcs STAT 365/665 1/28 Notes: Problem 3 s posted and due ths upcomng Frday There was an early bug n the fake-test data; fxed

More information

CSci 6974 and ECSE 6966 Math. Tech. for Vision, Graphics and Robotics Lecture 21, April 17, 2006 Estimating A Plane Homography

CSci 6974 and ECSE 6966 Math. Tech. for Vision, Graphics and Robotics Lecture 21, April 17, 2006 Estimating A Plane Homography CSc 6974 and ECSE 6966 Math. Tech. for Vson, Graphcs and Robotcs Lecture 21, Aprl 17, 2006 Estmatng A Plane Homography Overvew We contnue wth a dscusson of the major ssues, usng estmaton of plane projectve

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.265/15.070J Fall 2013 Lecture 12 10/21/2013. Martingale Concentration Inequalities and Applications

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.265/15.070J Fall 2013 Lecture 12 10/21/2013. Martingale Concentration Inequalities and Applications MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.65/15.070J Fall 013 Lecture 1 10/1/013 Martngale Concentraton Inequaltes and Applcatons Content. 1. Exponental concentraton for martngales wth bounded ncrements.

More information

Some modelling aspects for the Matlab implementation of MMA

Some modelling aspects for the Matlab implementation of MMA Some modellng aspects for the Matlab mplementaton of MMA Krster Svanberg krlle@math.kth.se Optmzaton and Systems Theory Department of Mathematcs KTH, SE 10044 Stockholm September 2004 1. Consdered optmzaton

More information

Chapter Newton s Method

Chapter Newton s Method Chapter 9. Newton s Method After readng ths chapter, you should be able to:. Understand how Newton s method s dfferent from the Golden Secton Search method. Understand how Newton s method works 3. Solve

More information

A Hybrid Variational Iteration Method for Blasius Equation

A Hybrid Variational Iteration Method for Blasius Equation Avalable at http://pvamu.edu/aam Appl. Appl. Math. ISSN: 1932-9466 Vol. 10, Issue 1 (June 2015), pp. 223-229 Applcatons and Appled Mathematcs: An Internatonal Journal (AAM) A Hybrd Varatonal Iteraton Method

More information

9 Derivation of Rate Equations from Single-Cell Conductance (Hodgkin-Huxley-like) Equations

9 Derivation of Rate Equations from Single-Cell Conductance (Hodgkin-Huxley-like) Equations Physcs 171/271 - Chapter 9R -Davd Klenfeld - Fall 2005 9 Dervaton of Rate Equatons from Sngle-Cell Conductance (Hodgkn-Huxley-lke) Equatons We consder a network of many neurons, each of whch obeys a set

More information

Scroll Generation with Inductorless Chua s Circuit and Wien Bridge Oscillator

Scroll Generation with Inductorless Chua s Circuit and Wien Bridge Oscillator Latest Trends on Crcuts, Systems and Sgnals Scroll Generaton wth Inductorless Chua s Crcut and Wen Brdge Oscllator Watcharn Jantanate, Peter A. Chayasena, and Sarawut Sutorn * Abstract An nductorless Chua

More information

Calculation of time complexity (3%)

Calculation of time complexity (3%) Problem 1. (30%) Calculaton of tme complexty (3%) Gven n ctes, usng exhaust search to see every result takes O(n!). Calculaton of tme needed to solve the problem (2%) 40 ctes:40! dfferent tours 40 add

More information

Pop-Click Noise Detection Using Inter-Frame Correlation for Improved Portable Auditory Sensing

Pop-Click Noise Detection Using Inter-Frame Correlation for Improved Portable Auditory Sensing Advanced Scence and Technology Letters, pp.164-168 http://dx.do.org/10.14257/astl.2013 Pop-Clc Nose Detecton Usng Inter-Frame Correlaton for Improved Portable Audtory Sensng Dong Yun Lee, Kwang Myung Jeon,

More information

ECE559VV Project Report

ECE559VV Project Report ECE559VV Project Report (Supplementary Notes Loc Xuan Bu I. MAX SUM-RATE SCHEDULING: THE UPLINK CASE We have seen (n the presentaton that, for downlnk (broadcast channels, the strategy maxmzng the sum-rate

More information

Second Order Analysis

Second Order Analysis Second Order Analyss In the prevous classes we looked at a method that determnes the load correspondng to a state of bfurcaton equlbrum of a perfect frame by egenvalye analyss The system was assumed to

More information

DESIGN OPTIMIZATION OF CFRP RECTANGULAR BOX SUBJECTED TO ARBITRARY LOADINGS

DESIGN OPTIMIZATION OF CFRP RECTANGULAR BOX SUBJECTED TO ARBITRARY LOADINGS Munch, Germany, 26-30 th June 2016 1 DESIGN OPTIMIZATION OF CFRP RECTANGULAR BOX SUBJECTED TO ARBITRARY LOADINGS Q.T. Guo 1*, Z.Y. L 1, T. Ohor 1 and J. Takahash 1 1 Department of Systems Innovaton, School

More information

Suppose that there s a measured wndow of data fff k () ; :::; ff k g of a sze w, measured dscretely wth varable dscretzaton step. It s convenent to pl

Suppose that there s a measured wndow of data fff k () ; :::; ff k g of a sze w, measured dscretely wth varable dscretzaton step. It s convenent to pl RECURSIVE SPLINE INTERPOLATION METHOD FOR REAL TIME ENGINE CONTROL APPLICATIONS A. Stotsky Volvo Car Corporaton Engne Desgn and Development Dept. 97542, HA1N, SE- 405 31 Gothenburg Sweden. Emal: astotsky@volvocars.com

More information

A Bayes Algorithm for the Multitask Pattern Recognition Problem Direct Approach

A Bayes Algorithm for the Multitask Pattern Recognition Problem Direct Approach A Bayes Algorthm for the Multtask Pattern Recognton Problem Drect Approach Edward Puchala Wroclaw Unversty of Technology, Char of Systems and Computer etworks, Wybrzeze Wyspanskego 7, 50-370 Wroclaw, Poland

More information

Yong Joon Ryang. 1. Introduction Consider the multicommodity transportation problem with convex quadratic cost function. 1 2 (x x0 ) T Q(x x 0 )

Yong Joon Ryang. 1. Introduction Consider the multicommodity transportation problem with convex quadratic cost function. 1 2 (x x0 ) T Q(x x 0 ) Kangweon-Kyungk Math. Jour. 4 1996), No. 1, pp. 7 16 AN ITERATIVE ROW-ACTION METHOD FOR MULTICOMMODITY TRANSPORTATION PROBLEMS Yong Joon Ryang Abstract. The optmzaton problems wth quadratc constrants often

More information

Time-Varying Systems and Computations Lecture 6

Time-Varying Systems and Computations Lecture 6 Tme-Varyng Systems and Computatons Lecture 6 Klaus Depold 14. Januar 2014 The Kalman Flter The Kalman estmaton flter attempts to estmate the actual state of an unknown dscrete dynamcal system, gven nosy

More information

A LINEAR PROGRAM TO COMPARE MULTIPLE GROSS CREDIT LOSS FORECASTS. Dr. Derald E. Wentzien, Wesley College, (302) ,

A LINEAR PROGRAM TO COMPARE MULTIPLE GROSS CREDIT LOSS FORECASTS. Dr. Derald E. Wentzien, Wesley College, (302) , A LINEAR PROGRAM TO COMPARE MULTIPLE GROSS CREDIT LOSS FORECASTS Dr. Derald E. Wentzen, Wesley College, (302) 736-2574, wentzde@wesley.edu ABSTRACT A lnear programmng model s developed and used to compare

More information

Lecture 14: Bandits with Budget Constraints

Lecture 14: Bandits with Budget Constraints IEOR 8100-001: Learnng and Optmzaton for Sequental Decson Makng 03/07/16 Lecture 14: andts wth udget Constrants Instructor: Shpra Agrawal Scrbed by: Zhpeng Lu 1 Problem defnton In the regular Mult-armed

More information

An Admission Control Algorithm in Cloud Computing Systems

An Admission Control Algorithm in Cloud Computing Systems An Admsson Control Algorthm n Cloud Computng Systems Authors: Frank Yeong-Sung Ln Department of Informaton Management Natonal Tawan Unversty Tape, Tawan, R.O.C. ysln@m.ntu.edu.tw Yngje Lan Management Scence

More information

Lossy Compression. Compromise accuracy of reconstruction for increased compression.

Lossy Compression. Compromise accuracy of reconstruction for increased compression. Lossy Compresson Compromse accuracy of reconstructon for ncreased compresson. The reconstructon s usually vsbly ndstngushable from the orgnal mage. Typcally, one can get up to 0:1 compresson wth almost

More information

Experience with Automatic Generation Control (AGC) Dynamic Simulation in PSS E

Experience with Automatic Generation Control (AGC) Dynamic Simulation in PSS E Semens Industry, Inc. Power Technology Issue 113 Experence wth Automatc Generaton Control (AGC) Dynamc Smulaton n PSS E Lu Wang, Ph.D. Staff Software Engneer lu_wang@semens.com Dngguo Chen, Ph.D. Staff

More information

Minimisation of the Average Response Time in a Cluster of Servers

Minimisation of the Average Response Time in a Cluster of Servers Mnmsaton of the Average Response Tme n a Cluster of Servers Valery Naumov Abstract: In ths paper, we consder task assgnment problem n a cluster of servers. We show that optmal statc task assgnment s tantamount

More information

Gated Clock Routing Minimizing the Switched Capacitance *

Gated Clock Routing Minimizing the Switched Capacitance * Gated Clock Routng Mnmzng the Swtched Capactance * Jaewon Oh and Massoud Pedram Dept. of Electrcal Engneerng Systems Unversty of Southern Calforna Los Angeles, CA 989 Tel: (3) 74-448 e-mal: [oh, massoud]@zugros.usc.edu

More information

Singular Value Decomposition: Theory and Applications

Singular Value Decomposition: Theory and Applications Sngular Value Decomposton: Theory and Applcatons Danel Khashab Sprng 2015 Last Update: March 2, 2015 1 Introducton A = UDV where columns of U and V are orthonormal and matrx D s dagonal wth postve real

More information

T E C O L O T E R E S E A R C H, I N C.

T E C O L O T E R E S E A R C H, I N C. T E C O L O T E R E S E A R C H, I N C. B rdg n g En g neern g a nd Econo mcs S nce 1973 THE MINIMUM-UNBIASED-PERCENTAGE ERROR (MUPE) METHOD IN CER DEVELOPMENT Thrd Jont Annual ISPA/SCEA Internatonal Conference

More information

Aging model for a 40 V Nch MOS, based on an innovative approach F. Alagi, R. Stella, E. Viganò

Aging model for a 40 V Nch MOS, based on an innovative approach F. Alagi, R. Stella, E. Viganò Agng model for a 4 V Nch MOS, based on an nnovatve approach F. Alag, R. Stella, E. Vganò ST Mcroelectroncs Cornaredo (Mlan) - Italy Agng modelng WHAT IS AGING MODELING: Agng modelng s a tool to smulate

More information

Solving Nonlinear Differential Equations by a Neural Network Method

Solving Nonlinear Differential Equations by a Neural Network Method Solvng Nonlnear Dfferental Equatons by a Neural Network Method Luce P. Aarts and Peter Van der Veer Delft Unversty of Technology, Faculty of Cvlengneerng and Geoscences, Secton of Cvlengneerng Informatcs,

More information

BALANCING OF U-SHAPED ASSEMBLY LINE

BALANCING OF U-SHAPED ASSEMBLY LINE BALANCING OF U-SHAPED ASSEMBLY LINE Nuchsara Krengkorakot, Naln Panthong and Rapeepan Ptakaso Industral Engneerng Department, Faculty of Engneerng, Ubon Rajathanee Unversty, Thaland Emal: ennuchkr@ubu.ac.th

More information

Lecture Notes on Linear Regression

Lecture Notes on Linear Regression Lecture Notes on Lnear Regresson Feng L fl@sdueducn Shandong Unversty, Chna Lnear Regresson Problem In regresson problem, we am at predct a contnuous target value gven an nput feature vector We assume

More information

Answers Problem Set 2 Chem 314A Williamsen Spring 2000

Answers Problem Set 2 Chem 314A Williamsen Spring 2000 Answers Problem Set Chem 314A Wllamsen Sprng 000 1) Gve me the followng crtcal values from the statstcal tables. a) z-statstc,-sded test, 99.7% confdence lmt ±3 b) t-statstc (Case I), 1-sded test, 95%

More information

En Route Traffic Optimization to Reduce Environmental Impact

En Route Traffic Optimization to Reduce Environmental Impact En Route Traffc Optmzaton to Reduce Envronmental Impact John-Paul Clarke Assocate Professor of Aerospace Engneerng Drector of the Ar Transportaton Laboratory Georga Insttute of Technology Outlne 1. Introducton

More information

Speeding up Computation of Scalar Multiplication in Elliptic Curve Cryptosystem

Speeding up Computation of Scalar Multiplication in Elliptic Curve Cryptosystem H.K. Pathak et. al. / (IJCSE) Internatonal Journal on Computer Scence and Engneerng Speedng up Computaton of Scalar Multplcaton n Ellptc Curve Cryptosystem H. K. Pathak Manju Sangh S.o.S n Computer scence

More information

3.6 Limiting and Clamping Circuits

3.6 Limiting and Clamping Circuits 3/10/2008 secton_3_6_lmtng_and_clampng_crcuts 1/1 3.6 Lmtng and Clampng Crcuts Readng Assgnment: pp. 184-187 (.e., neglect secton 3.6.2) Another applcaton of juncton dodes Q: What s a lmter? A: A 2-port

More information

Winter 2008 CS567 Stochastic Linear/Integer Programming Guest Lecturer: Xu, Huan

Winter 2008 CS567 Stochastic Linear/Integer Programming Guest Lecturer: Xu, Huan Wnter 2008 CS567 Stochastc Lnear/Integer Programmng Guest Lecturer: Xu, Huan Class 2: More Modelng Examples 1 Capacty Expanson Capacty expanson models optmal choces of the tmng and levels of nvestments

More information

ECE 534: Elements of Information Theory. Solutions to Midterm Exam (Spring 2006)

ECE 534: Elements of Information Theory. Solutions to Midterm Exam (Spring 2006) ECE 534: Elements of Informaton Theory Solutons to Mdterm Eam (Sprng 6) Problem [ pts.] A dscrete memoryless source has an alphabet of three letters,, =,, 3, wth probabltes.4,.4, and., respectvely. (a)

More information

Lecture 20: November 7

Lecture 20: November 7 0-725/36-725: Convex Optmzaton Fall 205 Lecturer: Ryan Tbshran Lecture 20: November 7 Scrbes: Varsha Chnnaobreddy, Joon Sk Km, Lngyao Zhang Note: LaTeX template courtesy of UC Berkeley EECS dept. Dsclamer:

More information

A Novel Gate-Level NBTI Delay Degradation Model with Stacking Effect

A Novel Gate-Level NBTI Delay Degradation Model with Stacking Effect A Novel Gate-Level NBTI Delay Degradaton Model wth Stackng Effect Hong Luo 1,YuWang 1,KuHe 1, Rong Luo 1, Huazhong Yang 1,,andYuanXe 2, 1 Crcuts and Systems Dvson, Dept. of EE, Tsnghua Unv., Bejng, 100084,

More information

Report on Image warping

Report on Image warping Report on Image warpng Xuan Ne, Dec. 20, 2004 Ths document summarzed the algorthms of our mage warpng soluton for further study, and there s a detaled descrpton about the mplementaton of these algorthms.

More information

EEL 6266 Power System Operation and Control. Chapter 3 Economic Dispatch Using Dynamic Programming

EEL 6266 Power System Operation and Control. Chapter 3 Economic Dispatch Using Dynamic Programming EEL 6266 Power System Operaton and Control Chapter 3 Economc Dspatch Usng Dynamc Programmng Pecewse Lnear Cost Functons Common practce many utltes prefer to represent ther generator cost functons as sngle-

More information

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information