EE 560 INTRODUCTION. Kenneth R. Laker, University of Pennsylvania

Size: px
Start display at page:

Download "EE 560 INTRODUCTION. Kenneth R. Laker, University of Pennsylvania"

Transcription

1 1 EE 560 ITROUTIO Kenneth R. Lker, University of ennsylvni

2 ORERIG OF TOIS 2 MOS Friction MOS Trnsistor Model Two Trnsistor ircuits (Inverters) Logic ircuits, Gtes, Ltches Regulr Structures ROMs, RMs, Ls µs, ustom Logic VLSI Su-systems System-Relted Issues, Reliility, Mnufcturility, Testility Kenneth R. Lker, University of ennsylvni

3 IFORMTIO SERVIE IUSTRY TRES Minfrme omputers onsumer Electronics t ommuniction ersonl omputers Video on emnd Speech rocessing/recognition Wireless/ellulr t ommuniction Multimedi pplictions ortle omputers etwork omputers

4 4 WHY MOOLITHI ITEGRTIO OF LRGE UMER OF FUTIOS O SIGLE HI? Less die re, compctness Less power consumption Less testing requirements t the system level Higher reliility, due to high qulity on chip interconnect Higher speed, due to reduced interconnect length Significnt cost svings

5 MIMIMUM FETURE SIZE (µm) µm 2000 YER

6 LSSIFITIO OF IGITL IRUIT TYES 6 IGITL IRUITS STTI IRUITS YMI IRUITS LSSIL MOS TRSMISSIO GTE MOS VSL IRUITS OMIO LOGI IRUITS OR LOGI IRUITS TS LOGI IRUITS Kenneth R. Lker, University of ennsylvni

7 MOS TRSISTORS 7 G G S S G G S S S G G S p+ p+ n+ n+ n-well p -sustrte Kenneth R. Lker, University of ennsylvni

8 8 G G S S G G S S S G G S p+ p+ n+ n+ p-well n -sustrte Kenneth R. Lker, University of ennsylvni

9 nmos nd pmos SWITH SYMOLS IEL HRTERISTIS 9 - SWITH s SYMOLS s SWITH HRTERISTIS Input Output 0 Srong 0 s = 0 1 Wek 1 s = 1 - SWITH s s s = 0 Input Output 0 Wek 0 Kenneth R. Lker, University of ennsylvni s = 1 1 Strong 1

10 10 OUTUT LOGI LEVELS OF - - SWITHES LEVEL SYMOL SWITH OITIO Strong 1 1 -SWITH gte = 0, source = V Wek 1 1 -SWITH gte = 1, source = V Strong 0 0 -SWITH gte = 1, source = V SS Wek 0 0 -SWITH gte = 0, source = V SS High Impednce Z -SWITH gte = 0 or -SWITH gte = 1 Kenneth R. Lker, University of ennsylvni

11 11 OMLEMETRY MOS SWITH SYMOLS -s SWITH HRTERISTIS s -s s -s Input Output 0 Srong 0 1 Strong 1 s s Kenneth R. Lker, University of ennsylvni

12 12 IVERTER TRUTH TLE IUT OUTUT (V ) input output input output input output 0 (V ) SS Kenneth R. Lker, University of ennsylvni

13 13 1 (V ) input output 0 (V ) SS RESOLUTIO OF GTE OUTUT LEVELS ull-own ull-up omined Output Output Output 0 Z 0 Z 1 1 Z Z Z 0 1 ROSSRRE Kenneth R. Lker, University of ennsylvni

14 OETIO & EHVIOR OF SERIES - - SWITHES 14 s1 s2 s1 = 0 s2 = 0 s1 = 0 s2 = 1 s1 = 1 s2 = 0 s1 = 1 s2 = 1 F s off off s2 1 off on s1 s2 s1 = 0 s2 = 0 s1 = 0 s2 = 1 s1 = 1 s2 = 0 s1 = 1 s2 = 1 F s on off s2 1 off off Kenneth R. Lker, University of ennsylvni

15 OETIO & EHVIOR OF RLLEL - - SWITHES 15 s1 s2 s1 = 0 s2 = 0 s1 = 0 s2 = 1 s1 = 1 s2 = 0 s1 = 1 s2 = 1 F s off on s2 1 on on s1 s2 s1 = 0 s2 = 0 s1 = 0 s2 = 1 s1 = 1 s2 = 0 s1 = 1 s2 = 1 F s on on s2 1 on off Kenneth R. Lker, University of ennsylvni

16 1 2-IUT MOS GTE 16 ( + ) out OR output ( ) IUT MOS GTE TRUTH TLE output OUTUT -IUT U U -IUT 0 1 Z Z 1 Z U U Z 0 Kenneth R. Lker, University of ennsylvni

17 17 2-IUT MOS OR GTE 1 OUT 1 output ( ) 0 out OR ( + ) Kenneth R. Lker, University of ennsylvni

18 OMOU GTES 18 F = (( ) + ( )) - Hlf F = (( ) + ( )) - Hlf F = ((+) (+)) Kenneth R. Lker, University of ennsylvni

19 19 F = (( ) + ( )) 1 F 0 Kenneth R. Lker, University of ennsylvni

20 2-IUT MULTILEXER 20 -s s -s output s -s output 0 1 s s output s -s output x () x () 0 x () 1 x () output =.s +.s Key components in MOS memory elements nd dt mnipultion structures. Kenneth R. Lker, University of ennsylvni

21 IRUIT SYSTEM RERESETTIOS OMLEX IGITL SYSTEM cn e SUESSIVELY SU-IVIE in HIERRHIL mnner. Highly utomted techniques exist for converting HIGH LEVEL ESRITIOS OF SYSTEM EHVIOR to detiled implementtion prescription to fricte HI. 21 To do this, set of STRTIOS hve een developed to descrie integrted electronic systems. esigns re represented in THREE distinct OMIS: 1. ehviorl: wht does the system do? 2. Structurl: how re the elements connected together? 3. hysicl: how is the structure to e uilt? Ech ESIG OMI cn e specified t vriety of LEVELS of STRTIO - rchitecturl - lgorithmic - Module or Functionl lock - Logicl - Switch - ircuit Kenneth R. Lker, University of ennsylvni Higher Level Lower Level

22 ehviorl omin pplictions Operting Systems rogrms Structurl omin RIS rocesor 22 Suroutines dder, gtes, registers ircuit strction Level Instructions Trnsistors Trnsistors Logic strction Level ells rcitecturl Level Modules hysicl omin hips, ords, oxes Kenneth R. Lker, University of ennsylvni

23 EHVIORL RERESTTIO 23 ehvior my e specified y: 1. oolen expressions 2. Tles of input/output vlues 3. lgoritythms written in high level computer lnguges 4. lgoritythms written in Hrwre escription Lnguges (HLs) e.g. VHL, Verilog highest level lowest level lgorithym -> Registers nd communictions ->... -> oolen expressions GOL OF MOER ESIG SYSTEMS: onvert spec t HIGHEST LEVEL possile into system design in MIIMUM TIME nd with MXIMUM LIKLIHOO tht the design will ERFORM S ESIRE. Kenneth R. Lker, University of ennsylvni

24 24 Exmple 1-1: pp 10 esign one-it inry dder circuit using 1 µm n-well MOS technology. The specificions re: 1. ropogtion ely Times of SUM & RRY_OUT signls: < 1.2 ns 2. Trnsition ely Times of SUM & RRY_OUT signls: < 1.2 ns 3. ircuit ie re: < 1500 µm 2 4. ynmic ower issiption (@ V = 5 V nd f mx = 20 MHz): < 1 mw Kenneth R. Lker, University of ennsylvni

25 System Requirements 25 rchitecture efinition nd Logic esign Logic igrm/escription VLSI esign nd Lyout Technology esign Rules evice Models FIL esign Verifiction SS Msk Genertion esign Rule heck ircuit Sim (SIE) Silicon rocessing Wfer Testing, ckging, Reliility Qulifiction Kenneth R. Lker, University of ennsylvni

26 STRT: oolen description of inry dder circuit: 26 FULL ER sum_out crry_out EFIE: Input Vriles: ddends:, crry-in: Output Vriles: sum_out, crry_out sum_out crry_out OOLE FUTIO: sum_out = + + = crry_out = + + Kenneth R. Lker, University of ennsylvni

27 OOLE FUTIO: 27 SUM_OUT = + + = RRY_OUT = + + crry_out sum_out SUM_OUT= + ( + + ) RRY_OUT (use of crry_out to relize sum_out reduces circuit complexity nd chip re) GTE LEVEL SHEMTI OF OE-IT FULL ER IRUIT Kenneth R. Lker, University of ennsylvni

28 crry_out 28 sum_out V crry_out V sum _out TRSISTOR LEVEL SHEMTI Kenneth R. Lker, University of ennsylvni

29 V 29 crry_out V sum_out OLOR LEGE n-well p-well V V n + oly S_O _O p + Gte Oxide Field Oxide Metl 1 Metl 2 Metl 3 ontct/vi Kenneth R. Lker, University of ennsylvni G G

30 V 30 crry_out V sum_out terntive schemtic with nmos nd pmos nets symmetricl crry_out V V sum_out Lyout with W/L = 2 µm/0.8 µm re 21 µm x 54 µm = 1134 µm 2

31 31 Lyout with W/L = 2 µm/0.8 µm Voltge (V) = 0, = SUM (crry_in) t LH t LH < 5 ns 3.0 t LH = 2 ns > 1.2 ns 1.0 t HL Modified Lyout Required 1. Increse W/L's of trnsistors 2. onsider more compct plcement of trnsistors nd reduce interconnect in critcl pths Kenneth R. Lker, University of ennsylvni

32 System Requirements 32 rchitecture efinition nd Logic esign Logic igrm/escription VLSI esign nd Lyout Technology esign Rules evice Models FIL esign Verifiction SS Msk Genertion esign Rule heck ircuit Sim (SIE) Silicon rocessing Wfer Testing, ckging, Reliility Qulifiction Kenneth R. Lker, University of ennsylvni

Digital Control of Electric Drives

Digital Control of Electric Drives igitl Control o Electric rives Logic Circuits - Comintionl Boolen Alger, escription Form Czech Technicl University in Prgue Fculty o Electricl Engineering Ver.. J. Zdenek Logic Comintionl Circuit Logic

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-378: Computer Hardware Design Winter Notes - Unit 1 ELETRIL ND OMPUTER ENGINEERING DEPRTMENT, OKLND UNIVERSIT EE-78: omputer Hrdwre Design Winter 016 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: Digital Logic Design Fall Notes - Unit 1 INTRODUTION TO LOGI IRUITS Notes - Unit 1 OOLEN LGER This is the oundtion or designing nd nlyzing digitl systems. It dels with the cse where vriles ssume only one o two vlues: TRUE (usully represented

More information

Module wires will get worse, but only slowly You don t think to rethink your wires in your adder, memory Or even your super-scalar processor core It

Module wires will get worse, but only slowly You don t think to rethink your wires in your adder, memory Or even your super-scalar processor core It Module wires will get worse, ut only slowly ou don t think to rethink your wires in your dder, memory Or even your super-sclr processor core It does let you design more modules Continued scling of uniprocessor

More information

EE 108A Lecture 2 (c) W. J. Dally and P. Levis 2

EE 108A Lecture 2 (c) W. J. Dally and P. Levis 2 EE08A Leture 2: Comintionl Logi Design EE 08A Leture 2 () 2005-2008 W. J. Dlly n P. Levis Announements Prof. Levis will hve no offie hours on Friy, Jn 8. Ls n setions hve een ssigne - see the we pge Register

More information

Lecture 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. PMOS Transistors in Series/Parallel Connection

Lecture 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. PMOS Transistors in Series/Parallel Connection NMOS Trnsistors in Series/Prllel onnetion Leture 6 MOS Stti & ynmi Logi Gtes Trnsistors n e thought s swith ontrolled y its gte signl NMOS swith loses when swith ontrol input is high Peter heung eprtment

More information

ECE223. R eouven Elbaz Office room: DC3576

ECE223. R eouven Elbaz Office room: DC3576 ECE223 R eouven Elz reouven@uwterloo.c Office room: DC3576 Outline Decoders Decoders with Enle VHDL Exmple Multiplexers Multiplexers with Enle VHDL Exmple From Decoder to Multiplexer 3-stte Gtes Multiplexers

More information

expression simply by forming an OR of the ANDs of all input variables for which the output is

expression simply by forming an OR of the ANDs of all input variables for which the output is 2.4 Logic Minimiztion nd Krnugh Mps As we found ove, given truth tle, it is lwys possile to write down correct logic expression simply y forming n OR of the ANDs of ll input vriles for which the output

More information

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation

CS12N: The Coming Revolution in Computer Architecture Laboratory 2 Preparation CS2N: The Coming Revolution in Computer Architecture Lortory 2 Preprtion Ojectives:. Understnd the principle of sttic CMOS gte circuits 2. Build simple logic gtes from MOS trnsistors 3. Evlute these gtes

More information

Combinational Logic Design

Combinational Logic Design PEN 35 - igital System esign ombinational Logic esign hapter 3 Logic and omputer esign Fundamentals, 4 rd Ed., Mano 2008 Pearson Prentice Hall esign oncepts and utomation top-down design proceeds from

More information

Boolean algebra.

Boolean algebra. http://en.wikipedi.org/wiki/elementry_boolen_lger Boolen lger www.tudorgir.com Computer science is not out computers, it is out computtion nd informtion. computtion informtion computer informtion Turing

More information

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control

Outline Last time: Deriving the State Diagram & Datapath (Cont.) Mapping the Datapath onto Control Outline Lst time: Deriving the Stte Digrm & Dtpth (Cont.) Mpping the Dtpth onto Control This lecture: Comintionl Testility nd Test-pttern Genertion Fults in digitl circuits Wht is test? : Controllility

More information

EE194-EE290C. 28 nm SoC for IoT

EE194-EE290C. 28 nm SoC for IoT EE194-EE290C 28 nm SoC for IoT Ref: Communic:on Systems y A. Bruce Crlson, Pul B. Crilly nd Jnet C. Rutledge CMOS VLSI Design y Neil H. Weste nd Dvid Money Hrris Timing Lirry Formt Reference, Cdence Design

More information

Resources. Introduction: Binding. Resource Types. Resource Sharing. The type of a resource denotes its ability to perform different operations

Resources. Introduction: Binding. Resource Types. Resource Sharing. The type of a resource denotes its ability to perform different operations Introduction: Binding Prt of 4-lecture introduction Scheduling Resource inding Are nd performnce estimtion Control unit synthesis This lecture covers Resources nd resource types Resource shring nd inding

More information

IST 4 Information and Logic

IST 4 Information and Logic IST 4 Informtion nd Logic T = tody x= hw#x out x= hw#x due mon tue wed thr 28 M1 oh 1 4 oh M1 11 oh oh 1 2 M2 18 oh oh 2 fri oh oh = office hours oh 25 oh M2 2 3 oh midterms oh Mx= MQx out 9 oh 3 T 4 oh

More information

Precision, Quad, SPST Analog Switches

Precision, Quad, SPST Analog Switches 9-022; Rev ; 4/94 Precision, Quad, SPST nalog Switches General escription The are precision, quad, single-pole single-throw (SPST) analog switches. The MX35 has four normally closed (N), and the MX352

More information

D. Harel, Statecharts: A visual formalism for complex systems, Science of Computer Programming 8, 1987, pp

D. Harel, Statecharts: A visual formalism for complex systems, Science of Computer Programming 8, 1987, pp Sttechrts y Kenr ooper ontents Introuction Stte igrms epth (hierrchy) Orthogonlity (concurrency) rocst ommuniction Exmple Prolems Introuction Sttechrts were introuce y vi Hrel in 1987. Hrel, Sttechrts:

More information

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Deprtment of Electricl Engineering nd Computer Sciences Eld Alon Homework #3 Solutions EECS4 PROBLEM : CMOS Logic ) Implement the logic function

More information

CS 330 Formal Methods and Models

CS 330 Formal Methods and Models CS 330 Forml Methods nd Models Dn Richrds, George Mson University, Spring 2017 Quiz Solutions Quiz 1, Propositionl Logic Dte: Ferury 2 1. Prove ((( p q) q) p) is tutology () (3pts) y truth tle. p q p q

More information

Fast Boolean Algebra

Fast Boolean Algebra Fst Boolen Alger ELEC 267 notes with the overurden removed A fst wy to lern enough to get the prel done honorly Printed; 3//5 Slide Modified; Jnury 3, 25 John Knight Digitl Circuits p. Fst Boolen Alger

More information

CS 310 (sec 20) - Winter Final Exam (solutions) SOLUTIONS

CS 310 (sec 20) - Winter Final Exam (solutions) SOLUTIONS CS 310 (sec 20) - Winter 2003 - Finl Exm (solutions) SOLUTIONS 1. (Logic) Use truth tles to prove the following logicl equivlences: () p q (p p) (q q) () p q (p q) (p q) () p q p q p p q q (q q) (p p)

More information

1 2 : 4 5. Why Digital Systems? Lesson 1: Introduction to Digital Logic Design. Numbering systems. Sample Problems 1 5 min. Lesson 1-b: Logic Gates

1 2 : 4 5. Why Digital Systems? Lesson 1: Introduction to Digital Logic Design. Numbering systems. Sample Problems 1 5 min. Lesson 1-b: Logic Gates Leon : Introduction to Digitl Logic Deign Computer ided Digitl Deign EE 39 meet Chvn Fll 29 Why Digitl Sytem? ccurte depending on numer of digit ued CD Muic i digitl Vinyl Record were nlog DVD Video nd

More information

Fault Modeling. EE5375 ADD II Prof. MacDonald

Fault Modeling. EE5375 ADD II Prof. MacDonald Fult Modeling EE5375 ADD II Prof. McDonld Stuck At Fult Models l Modeling of physicl defects (fults) simplify to logicl fult l stuck high or low represents mny physicl defects esy to simulte technology

More information

ENGR 3861 Digital Logic Boolean Algebra. Fall 2007

ENGR 3861 Digital Logic Boolean Algebra. Fall 2007 ENGR 386 Digitl Logi Boole Alger Fll 007 Boole Alger A two vlued lgeri system Iveted y George Boole i 854 Very similr to the lger tht you lredy kow Sme opertios ivolved dditio sutrtio multiplitio Repled

More information

1. For each of the following theorems, give a two or three sentence sketch of how the proof goes or why it is not true.

1. For each of the following theorems, give a two or three sentence sketch of how the proof goes or why it is not true. York University CSE 2 Unit 3. DFA Clsses Converting etween DFA, NFA, Regulr Expressions, nd Extended Regulr Expressions Instructor: Jeff Edmonds Don t chet y looking t these nswers premturely.. For ech

More information

CS 267: Automated Verification. Lecture 8: Automata Theoretic Model Checking. Instructor: Tevfik Bultan

CS 267: Automated Verification. Lecture 8: Automata Theoretic Model Checking. Instructor: Tevfik Bultan CS 267: Automted Verifiction Lecture 8: Automt Theoretic Model Checking Instructor: Tevfik Bultn LTL Properties Büchi utomt [Vrdi nd Wolper LICS 86] Büchi utomt: Finite stte utomt tht ccept infinite strings

More information

CS415 Compilers. Lexical Analysis and. These slides are based on slides copyrighted by Keith Cooper, Ken Kennedy & Linda Torczon at Rice University

CS415 Compilers. Lexical Analysis and. These slides are based on slides copyrighted by Keith Cooper, Ken Kennedy & Linda Torczon at Rice University CS415 Compilers Lexicl Anlysis nd These slides re sed on slides copyrighted y Keith Cooper, Ken Kennedy & Lind Torczon t Rice University First Progrmming Project Instruction Scheduling Project hs een posted

More information

Nondeterministic Finite Automata

Nondeterministic Finite Automata Nondeterministi Finite utomt The Power of Guessing Tuesdy, Otoer 4, 2 Reding: Sipser.2 (first prt); Stoughton 3.3 3.5 S235 Lnguges nd utomt eprtment of omputer Siene Wellesley ollege Finite utomton (F)

More information

CS 301. Lecture 04 Regular Expressions. Stephen Checkoway. January 29, 2018

CS 301. Lecture 04 Regular Expressions. Stephen Checkoway. January 29, 2018 CS 301 Lecture 04 Regulr Expressions Stephen Checkowy Jnury 29, 2018 1 / 35 Review from lst time NFA N = (Q, Σ, δ, q 0, F ) where δ Q Σ P (Q) mps stte nd n lphet symol (or ) to set of sttes We run n NFA

More information

80 CHAPTER 2. DFA S, NFA S, REGULAR LANGUAGES. 2.6 Finite State Automata With Output: Transducers

80 CHAPTER 2. DFA S, NFA S, REGULAR LANGUAGES. 2.6 Finite State Automata With Output: Transducers 80 CHAPTER 2. DFA S, NFA S, REGULAR LANGUAGES 2.6 Finite Stte Automt With Output: Trnsducers So fr, we hve only considered utomt tht recognize lnguges, i.e., utomt tht do not produce ny output on ny input

More information

IST 4 Information and Logic

IST 4 Information and Logic IST 4 Informtion nd Logic mon tue wed thr fri sun T = tody 3 M1 oh 1 x= hw#x out 10 oh M1 17 oh oh 1 2 M2 oh oh x= hw#x due 24 oh oh 2 oh = office hours oh 1 oh M2 8 3 oh midterms oh oh Mx= MQx out 15

More information

Propositional models. Historical models of computation. Application: binary addition. Boolean functions. Implementation using switches.

Propositional models. Historical models of computation. Application: binary addition. Boolean functions. Implementation using switches. Propositionl models Historil models of omputtion Steven Lindell Hverford College USA 1/22/2010 ISLA 2010 1 Strt with fixed numer of oolen vriles lled the voulry: e.g.,,. Eh oolen vrile represents proposition,

More information

Types of Finite Automata. CMSC 330: Organization of Programming Languages. Comparing DFAs and NFAs. NFA for (a b)*abb.

Types of Finite Automata. CMSC 330: Organization of Programming Languages. Comparing DFAs and NFAs. NFA for (a b)*abb. CMSC 330: Orgniztion of Progrmming Lnguges Finite Automt 2 Types of Finite Automt Deterministic Finite Automt () Exctly one sequence of steps for ech string All exmples so fr Nondeterministic Finite Automt

More information

Chapter 2 Finite Automata

Chapter 2 Finite Automata Chpter 2 Finite Automt 28 2.1 Introduction Finite utomt: first model of the notion of effective procedure. (They lso hve mny other pplictions). The concept of finite utomton cn e derived y exmining wht

More information

Types of Finite Automata. CMSC 330: Organization of Programming Languages. Comparing DFAs and NFAs. Comparing DFAs and NFAs (cont.) Finite Automata 2

Types of Finite Automata. CMSC 330: Organization of Programming Languages. Comparing DFAs and NFAs. Comparing DFAs and NFAs (cont.) Finite Automata 2 CMSC 330: Orgniztion of Progrmming Lnguges Finite Automt 2 Types of Finite Automt Deterministic Finite Automt () Exctly one sequence of steps for ech string All exmples so fr Nondeterministic Finite Automt

More information

Elements of Computing Systems, Nisan & Schocken, MIT Press. Boolean Logic

Elements of Computing Systems, Nisan & Schocken, MIT Press. Boolean Logic Elements of Computing Systems, Nisn & Schocken, MIT Press www.idc.c.il/tecs Usge nd Copyright Notice: Boolen Logic Copyright 2005 Nom Nisn nd Shimon Schocken This presenttion contins lecture mterils tht

More information

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important!

Solutions - Homework 1 (Due date: September 9:30 am) Presentation and clarity are very important! ECE-238L: Computer Logi Design Fll 23 Solutions - Homework (Due dte: Septemer 2th @ 9:3 m) Presenttion nd lrity re very importnt! PROBLEM (5 PTS) ) Simpliy the ollowing untions using ONLY Boolen Alger

More information

Introduction to Electrical & Electronic Engineering ENGG1203

Introduction to Electrical & Electronic Engineering ENGG1203 Introduction to Electricl & Electronic Engineering ENGG23 2 nd Semester, 27-8 Dr. Hden Kwok-H So Deprtment of Electricl nd Electronic Engineering Astrction DIGITAL LOGIC 2 Digitl Astrction n Astrct ll

More information

CARLETON UNIVERSITY. 1.0 Problems and Most Solutions, Sect B, 2005

CARLETON UNIVERSITY. 1.0 Problems and Most Solutions, Sect B, 2005 RLETON UNIVERSIT eprtment of Eletronis ELE 2607 Swithing iruits erury 28, 05; 0 pm.0 Prolems n Most Solutions, Set, 2005 Jn. 2, #8 n #0; Simplify, Prove Prolem. #8 Simplify + + + Reue to four letters (literls).

More information

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So.

Combinational Logic. Precedence. Quick Quiz 25/9/12. Schematics à Boolean Expression. 3 Representations of Logic Functions. Dr. Hayden So. 5/9/ Comintionl Logic ENGG05 st Semester, 0 Dr. Hyden So Representtions of Logic Functions Recll tht ny complex logic function cn e expressed in wys: Truth Tle, Boolen Expression, Schemtics Only Truth

More information

Reduction of Switching Noise in Digital CMOS Circuits by Pin Swapping of Library Cells 1

Reduction of Switching Noise in Digital CMOS Circuits by Pin Swapping of Library Cells 1 Redution of Swithing Noise in Digitl CMOS Ciruits y Pin Swpping of Lirry Cells 1 Pilr Prr, Antonio Aost, nd Mnuel Vleni Instituto de Miroeletróni de Sevill-CNM / Universidd de Sevill Avd. Rein Meredes

More information

Some Theory of Computation Exercises Week 1

Some Theory of Computation Exercises Week 1 Some Theory of Computtion Exercises Week 1 Section 1 Deterministic Finite Automt Question 1.3 d d d d u q 1 q 2 q 3 q 4 q 5 d u u u u Question 1.4 Prt c - {w w hs even s nd one or two s} First we sk whether

More information

Stepping Motor Driver IC IK6502N TECHNICAL DATA. General Description

Stepping Motor Driver IC IK6502N TECHNICAL DATA. General Description TECHNICAL DATA Stepping Motor Driver IC IK6502 Generl Description IK6502 is stepping motor driver ICs with MOS output trnsistors. The ICs cn control two-phse stepping motor forwrd nd reverse by bipolr

More information

CS 2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2014

CS 2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2014 S 224 DIGITAL LOGI & STATE MAHINE DESIGN SPRING 214 DUE : Mrh 27, 214 HOMEWORK III READ : Relte portions of hpters VII n VIII ASSIGNMENT : There re three questions. Solve ll homework n exm prolems s shown

More information

CHAPTER 1 Regular Languages. Contents. definitions, examples, designing, regular operations. Non-deterministic Finite Automata (NFA)

CHAPTER 1 Regular Languages. Contents. definitions, examples, designing, regular operations. Non-deterministic Finite Automata (NFA) Finite Automt (FA or DFA) CHAPTER Regulr Lnguges Contents definitions, exmples, designing, regulr opertions Non-deterministic Finite Automt (NFA) definitions, equivlence of NFAs DFAs, closure under regulr

More information

Network Analysis and Synthesis. Chapter 5 Two port networks

Network Analysis and Synthesis. Chapter 5 Two port networks Network Anlsis nd Snthesis hpter 5 Two port networks . ntroduction A one port network is completel specified when the voltge current reltionship t the terminls of the port is given. A generl two port on

More information

CMSC 330: Organization of Programming Languages

CMSC 330: Organization of Programming Languages CMSC 330: Orgniztion of Progrmming Lnguges Finite Automt 2 CMSC 330 1 Types of Finite Automt Deterministic Finite Automt (DFA) Exctly one sequence of steps for ech string All exmples so fr Nondeterministic

More information

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

CHAPTER 9 MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES CHAPTER 9 MULTIPLEERS DECODERS AND PROGRAMMABLE LOGIC DEVICES Contents 9. Introution 9.2 Multiplexers 9.3 Three-Stte Buffers 9.4 Deoers n Enoers 9.5 Re-Only Memories 9.6 Progrmmle Logi Devies 9.7 Complex

More information

Chapter 1: Boolean Logic

Chapter 1: Boolean Logic Elements of Computing Systems, Nisn & Schocken, MIT Press, 2005 www.idc.c.il/tecs Chpter 1: Boolen Logic Usge nd Copyright Notice: Copyright 2005 Nom Nisn nd Shimon Schocken This presenttion contins lecture

More information

P-MOS Device and CMOS Inverters

P-MOS Device and CMOS Inverters Lecture 23 P-MOS Device and CMOS Inverters A) P-MOS Device Structure and Oeration B) Relation of Current to t OX, µ V LIMIT C) CMOS Device Equations and Use D) CMOS Inverter V OUT vs. V IN E) CMOS Short

More information

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment

EE273 Lecture 15 Asynchronous Design November 16, Today s Assignment EE273 Lecture 15 Asynchronous Design Novemer 16, 199 Willim J. Dlly Computer Systems Lortory Stnford University illd@csl.stnford.edu 1 Tody s Assignment Term Project see project updte hndout on we checkpoint

More information

CISC 4090 Theory of Computation

CISC 4090 Theory of Computation 9/6/28 Stereotypicl computer CISC 49 Theory of Computtion Finite stte mchines & Regulr lnguges Professor Dniel Leeds dleeds@fordhm.edu JMH 332 Centrl processing unit (CPU) performs ll the instructions

More information

Foundations of XML Types: Tree Automata

Foundations of XML Types: Tree Automata 1 / 43 Foundtions of XML Types: Tree Automt Pierre Genevès CNRS (slides mostly sed on slides y W. Mrtens nd T. Schwentick) University of Grenole Alpes, 2017 2018 2 / 43 Why Tree Automt? Foundtions of XML

More information

Overview of Today s Lecture:

Overview of Today s Lecture: CPS 4 Computer Orgniztion nd Progrmming Lecture : Boolen Alger & gtes. Roert Wgner CPS4 BA. RW Fll 2 Overview of Tody s Lecture: Truth tles, Boolen functions, Gtes nd Circuits Krnugh mps for simplifying

More information

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata

CS103B Handout 18 Winter 2007 February 28, 2007 Finite Automata CS103B ndout 18 Winter 2007 Ferury 28, 2007 Finite Automt Initil text y Mggie Johnson. Introduction Severl childrens gmes fit the following description: Pieces re set up on plying ord; dice re thrown or

More information

Lecture 3. Introduction digital logic. Notes. Notes. Notes. Representations. February Bern University of Applied Sciences.

Lecture 3. Introduction digital logic. Notes. Notes. Notes. Representations. February Bern University of Applied Sciences. Lecture 3 Ferury 6 ern University of pplied ciences ev. f57fc 3. We hve seen tht circuit cn hve multiple (n) inputs, e.g.,, C, We hve lso seen tht circuit cn hve multiple (m) outputs, e.g. X, Y,, ; or

More information

Lexical Analysis Finite Automate

Lexical Analysis Finite Automate Lexicl Anlysis Finite Automte CMPSC 470 Lecture 04 Topics: Deterministic Finite Automt (DFA) Nondeterministic Finite Automt (NFA) Regulr Expression NFA DFA A. Finite Automt (FA) FA re grph, like trnsition

More information

Precision, Quad, SPST Analog Switches

Precision, Quad, SPST Analog Switches -0; Rev ; /0 Precision, Quad, SPST nalog Switches General escription The are precision, quad, single-pole single-throw (SPST) analog switches. The MX has four normally closed (N), and the MX has four normally

More information

Compiler Design. Fall Lexical Analysis. Sample Exercises and Solutions. Prof. Pedro C. Diniz

Compiler Design. Fall Lexical Analysis. Sample Exercises and Solutions. Prof. Pedro C. Diniz University of Southern Cliforni Computer Science Deprtment Compiler Design Fll Lexicl Anlysis Smple Exercises nd Solutions Prof. Pedro C. Diniz USC / Informtion Sciences Institute 4676 Admirlty Wy, Suite

More information

CSE : Exam 3-ANSWERS, Spring 2011 Time: 50 minutes

CSE : Exam 3-ANSWERS, Spring 2011 Time: 50 minutes CSE 260-002: Exm 3-ANSWERS, Spring 20 ime: 50 minutes Nme: his exm hs 4 pges nd 0 prolems totling 00 points. his exm is closed ook nd closed notes.. Wrshll s lgorithm for trnsitive closure computtion is

More information

Deterministic Finite Automata

Deterministic Finite Automata Finite Automt Deterministic Finite Automt H. Geuvers nd J. Rot Institute for Computing nd Informtion Sciences Version: fll 2016 J. Rot Version: fll 2016 Tlen en Automten 1 / 21 Outline Finite Automt Finite

More information

a,b a 1 a 2 a 3 a,b 1 a,b a,b 2 3 a,b a,b a 2 a,b CS Determinisitic Finite Automata 1

a,b a 1 a 2 a 3 a,b 1 a,b a,b 2 3 a,b a,b a 2 a,b CS Determinisitic Finite Automata 1 CS4 45- Determinisitic Finite Automt -: Genertors vs. Checkers Regulr expressions re one wy to specify forml lnguge String Genertor Genertes strings in the lnguge Deterministic Finite Automt (DFA) re nother

More information

NON-DETERMINISTIC FSA

NON-DETERMINISTIC FSA Tw o types of non-determinism: NON-DETERMINISTIC FS () Multiple strt-sttes; strt-sttes S Q. The lnguge L(M) ={x:x tkes M from some strt-stte to some finl-stte nd ll of x is proessed}. The string x = is

More information

Hybrid Control and Switched Systems. Lecture #2 How to describe a hybrid system? Formal models for hybrid system

Hybrid Control and Switched Systems. Lecture #2 How to describe a hybrid system? Formal models for hybrid system Hyrid Control nd Switched Systems Lecture #2 How to descrie hyrid system? Forml models for hyrid system João P. Hespnh University of Cliforni t Snt Brr Summry. Forml models for hyrid systems: Finite utomt

More information

Convert the NFA into DFA

Convert the NFA into DFA Convert the NF into F For ech NF we cn find F ccepting the sme lnguge. The numer of sttes of the F could e exponentil in the numer of sttes of the NF, ut in prctice this worst cse occurs rrely. lgorithm:

More information

Implication Graphs and Logic Testing

Implication Graphs and Logic Testing Implition Grphs n Logi Testing Vishwni D. Agrwl Jmes J. Dnher Professor Dept. of ECE, Auurn University Auurn, AL 36849 vgrwl@eng.uurn.eu www.eng.uurn.eu/~vgrwl Joint reserh with: K. K. Dve, ATI Reserh,

More information

Let's start with an example:

Let's start with an example: Finite Automt Let's strt with n exmple: Here you see leled circles tht re sttes, nd leled rrows tht re trnsitions. One of the sttes is mrked "strt". One of the sttes hs doule circle; this is terminl stte

More information

1 From NFA to regular expression

1 From NFA to regular expression Note 1: How to convert DFA/NFA to regulr expression Version: 1.0 S/EE 374, Fll 2017 Septemer 11, 2017 In this note, we show tht ny DFA cn e converted into regulr expression. Our construction would work

More information

CS375: Logic and Theory of Computing

CS375: Logic and Theory of Computing CS375: Logic nd Theory of Computing Fuhu (Frnk) Cheng Deprtment of Computer Science University of Kentucky 1 Tle of Contents: Week 1: Preliminries (set lger, reltions, functions) (red Chpters 1-4) Weeks

More information

Degrading Precision Arithmetic for Low Power Signal Processing

Degrading Precision Arithmetic for Low Power Signal Processing Degrding Precision Arithmetic for Low Power Signl Processing Mssimo Petricc, Gin Crlo Crdrilli, Alberto Nnnrelli (1), Mrco Re nd Pietro Albicocco Deprtment of Electronics, University of Rome Tor ergt,

More information

Nondeterministic Automata vs Deterministic Automata

Nondeterministic Automata vs Deterministic Automata Nondeterministi Automt vs Deterministi Automt We lerned tht NFA is onvenient model for showing the reltionships mong regulr grmmrs, FA, nd regulr expressions, nd designing them. However, we know tht n

More information

Running an NFA & the subset algorithm (NFA->DFA) CS 350 Fall 2018 gilray.org/classes/fall2018/cs350/

Running an NFA & the subset algorithm (NFA->DFA) CS 350 Fall 2018 gilray.org/classes/fall2018/cs350/ Running n NFA & the suset lgorithm (NFA->DFA) CS 350 Fll 2018 gilry.org/lsses/fll2018/s350/ 1 NFAs operte y simultneously exploring ll pths nd epting if ny pth termintes t n ept stte.!2 Try n exmple: L

More information

GNFA GNFA GNFA GNFA GNFA

GNFA GNFA GNFA GNFA GNFA DFA RE NFA DFA -NFA REX GNFA Definition GNFA A generlize noneterministic finite utomton (GNFA) is grph whose eges re lele y regulr expressions, with unique strt stte with in-egree, n unique finl stte with

More information

Automata and Regular Languages

Automata and Regular Languages Chpter 9 Automt n Regulr Lnguges 9. Introution This hpter looks t mthemtil moels of omputtion n lnguges tht esrie them. The moel-lnguge reltionship hs multiple levels. We shll explore the simplest level,

More information

Technology Mapping Method for Low Power Consumption and High Performance in General-Synchronous Framework

Technology Mapping Method for Low Power Consumption and High Performance in General-Synchronous Framework R-17 SASIMI 015 Proeeings Tehnology Mpping Metho for Low Power Consumption n High Performne in Generl-Synhronous Frmework Junki Kwguhi Yukihie Kohir Shool of Computer Siene, the University of Aizu Aizu-Wkmtsu

More information

From LTL to Symbolically Represented Deterministic Automata

From LTL to Symbolically Represented Deterministic Automata Motivtion nd Prolem Setting Determinizing Non-Confluent Automt Det. vi Automt Hierrchy From LTL to Symoliclly Represented Deterministic Automt Andres Morgenstern Klus Schneider Sven Lmerti Mnuel Gesell

More information

:3 2 D e c o de r S ubs ys te m "0 " One "1 " Ze ro "0 " "0 " One I 1 "0 " One "1 " Ze ro "1 " Ze ro "0 " "0 "

:3 2 D e c o de r S ubs ys te m 0  One 1  Ze ro 0  0  One I 1 0  One 1  Ze ro 1  Ze ro 0  0 dvanced igital Logic esign EES 303 http://ziyang.eecs.northwestern.edu/eecs303/ 5:32 decoder/demultiplexer Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 \EN 5:32

More information

Unit 4. Combinational Circuits

Unit 4. Combinational Circuits Unit 4. Comintionl Ciruits Digitl Eletroni Ciruits (Ciruitos Eletrónios Digitles) E.T.S.I. Informáti Universidd de Sevill 5/10/2012 Jorge Jun 2010, 2011, 2012 You re free to opy, distriute

More information

CS 275 Automata and Formal Language Theory

CS 275 Automata and Formal Language Theory CS 275 utomt nd Forml Lnguge Theory Course Notes Prt II: The Recognition Prolem (II) Chpter II.5.: Properties of Context Free Grmmrs (14) nton Setzer (Bsed on ook drft y J. V. Tucker nd K. Stephenson)

More information

AUTOMATA AND LANGUAGES. Definition 1.5: Finite Automaton

AUTOMATA AND LANGUAGES. Definition 1.5: Finite Automaton 25. Finite Automt AUTOMATA AND LANGUAGES A system of computtion tht only hs finite numer of possile sttes cn e modeled using finite utomton A finite utomton is often illustrted s stte digrm d d d. d q

More information

CHAPTER 1 Regular Languages. Contents

CHAPTER 1 Regular Languages. Contents Finite Automt (FA or DFA) CHAPTE 1 egulr Lnguges Contents definitions, exmples, designing, regulr opertions Non-deterministic Finite Automt (NFA) definitions, euivlence of NFAs nd DFAs, closure under regulr

More information

State Minimization for DFAs

State Minimization for DFAs Stte Minimiztion for DFAs Red K & S 2.7 Do Homework 10. Consider: Stte Minimiztion 4 5 Is this miniml mchine? Step (1): Get rid of unrechle sttes. Stte Minimiztion 6, Stte is unrechle. Step (2): Get rid

More information

2. Lexical Analysis. Oscar Nierstrasz

2. Lexical Analysis. Oscar Nierstrasz 2. Lexicl Anlysis Oscr Nierstrsz Thnks to Jens Plserg nd Tony Hosking for their kind permission to reuse nd dpt the CS132 nd CS502 lecture notes. http://www.cs.ucl.edu/~plserg/ http://www.cs.purdue.edu/homes/hosking/

More information

6.004 Computation Structures Spring 2009

6.004 Computation Structures Spring 2009 MIT OpenCourseWre http://ocw.mit.edu 6.004 Computtion Structures Spring 009 For informtion out citing these mterils or our Terms of Use, visit: http://ocw.mit.edu/terms. Cost/Performnce Trdeoffs: cse study

More information

Safety Controller Synthesis for Switched Systems using Multiscale Symbolic Models

Safety Controller Synthesis for Switched Systems using Multiscale Symbolic Models Sfety Controller Synthesis for Switched Systems using Multiscle Symolic Models Antoine Girrd Lortoire des Signux et Systèmes Gif sur Yvette, Frnce Séminire du LAAS Toulouse, 29 Juin, 2016 A. Girrd (L2S-CNRS)

More information

Faster Regular Expression Matching. Philip Bille Mikkel Thorup

Faster Regular Expression Matching. Philip Bille Mikkel Thorup Fster Regulr Expression Mtching Philip Bille Mikkel Thorup Outline Definition Applictions History tour of regulr expression mtching Thompson s lgorithm Myers lgorithm New lgorithm Results nd extensions

More information

Section 6.1 INTRO to LAPLACE TRANSFORMS

Section 6.1 INTRO to LAPLACE TRANSFORMS Section 6. INTRO to LAPLACE TRANSFORMS Key terms: Improper Integrl; diverge, converge A A f(t)dt lim f(t)dt Piecewise Continuous Function; jump discontinuity Function of Exponentil Order Lplce Trnsform

More information

Clocking Strategies and Scannable Latches for Low Power Applications

Clocking Strategies and Scannable Latches for Low Power Applications locking trtegies nd cnnle Ltches for Low Power pplictions V. Zyun nd. eltzer eserch ivision, T.J. Wtson eserch enter, Yorktown Heights, NY 598 (. eltzer is now with Epson eserch nd evelopment, NY) strct

More information

CMPSCI 250: Introduction to Computation. Lecture #31: What DFA s Can and Can t Do David Mix Barrington 9 April 2014

CMPSCI 250: Introduction to Computation. Lecture #31: What DFA s Can and Can t Do David Mix Barrington 9 April 2014 CMPSCI 250: Introduction to Computtion Lecture #31: Wht DFA s Cn nd Cn t Do Dvid Mix Brrington 9 April 2014 Wht DFA s Cn nd Cn t Do Deterministic Finite Automt Forml Definition of DFA s Exmples of DFA

More information

Proportional spool valve. NG4-Mini Wandfluh standard

Proportional spool valve. NG4-Mini Wandfluh standard roportionl spool vlve roportionl spool vlve Flnge construction Q mx = l/min 3 volume flow levels Q N mx = 1 l/min p mx = 3 r NG-Mini Wndfluh stndrd DESCRIION Direct operted proportionl spool vlve with

More information

Regular expressions, Finite Automata, transition graphs are all the same!!

Regular expressions, Finite Automata, transition graphs are all the same!! CSI 3104 /Winter 2011: Introduction to Forml Lnguges Chpter 7: Kleene s Theorem Chpter 7: Kleene s Theorem Regulr expressions, Finite Automt, trnsition grphs re ll the sme!! Dr. Neji Zgui CSI3104-W11 1

More information

Bayesian Networks: Approximate Inference

Bayesian Networks: Approximate Inference pproches to inference yesin Networks: pproximte Inference xct inference Vrillimintion Join tree lgorithm pproximte inference Simplify the structure of the network to mkxct inferencfficient (vritionl methods,

More information

EE115C Digital Electronic Circuits Homework #4

EE115C Digital Electronic Circuits Homework #4 EE115 Digital Electronic ircuits Homework #4 Problem 1 Power Dissipation Solution Vdd =1.0V onsider the source follower circuit used to drive a load L =20fF shown above. M1 and M2 are both NMOS transistors

More information

Finite Field Arithmetic and Implementations. Xinmiao Zhang Case Western Reserve University

Finite Field Arithmetic and Implementations. Xinmiao Zhang Case Western Reserve University Finite Field Arithmetic nd Implementtions Xinmio Zhng Cse Western Reserve University Applictions of Finite Field Arithmetic Error-correcting codes Hmming codes BCH codes Reed-Solomon codes Low-density

More information

CS311 Computational Structures Regular Languages and Regular Grammars. Lecture 6

CS311 Computational Structures Regular Languages and Regular Grammars. Lecture 6 CS311 Computtionl Strutures Regulr Lnguges nd Regulr Grmmrs Leture 6 1 Wht we know so fr: RLs re losed under produt, union nd * Every RL n e written s RE, nd every RE represents RL Every RL n e reognized

More information

Second Lecture: Basics of model-checking for finite and timed systems

Second Lecture: Basics of model-checking for finite and timed systems Second Lecture: Bsics of model-checking for finite nd timed systems Jen-Frnçois Rskin Université Lire de Bruxelles Belgium Artist2 Asin Summer School - Shnghi - July 28 Pln of the tlk Lelled trnsition

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digitl Logi Ciruits Chpter 4: Logi Optimiztion Curtis Nelson Logi Optimiztion In hpter 4 you will lern out: Synthesis of logi funtions; Anlysis of logi iruits; Tehniques for deriving minimum-ost

More information

! Dynamic Characteristics. " Delay

! Dynamic Characteristics.  Delay EE 57: Digital Integrated ircuits and LI Fundamentals Lecture Outline! Dynamic haracteristics " Delay Lec : February, 8 MO Inverter and Interconnect Delay 3 Review: Propogation Delay Definitions Dynamic

More information

FABER Formal Languages, Automata and Models of Computation

FABER Formal Languages, Automata and Models of Computation DVA337 FABER Forml Lnguges, Automt nd Models of Computtion Lecture 5 chool of Innovtion, Design nd Engineering Mälrdlen University 2015 1 Recp of lecture 4 y definition suset construction DFA NFA stte

More information

CS 330 Formal Methods and Models Dana Richards, George Mason University, Spring 2016 Quiz Solutions

CS 330 Formal Methods and Models Dana Richards, George Mason University, Spring 2016 Quiz Solutions CS 330 Forml Methods nd Models Dn Richrds, George Mson University, Spring 2016 Quiz Solutions Quiz 1, Propositionl Logic Dte: Ferury 9 1. (4pts) ((p q) (q r)) (p r), prove tutology using truth tles. p

More information