Low Leakage Current Transport and High Breakdown Strength of Pulsed Laser Deposited HfO 2 /SiC MIS Device Structures

Size: px
Start display at page:

Download "Low Leakage Current Transport and High Breakdown Strength of Pulsed Laser Deposited HfO 2 /SiC MIS Device Structures"

Transcription

1 Low Leakage Current Transport and High Breakdown Strength of Pulsed Laser Deposited HfO 2 /SiC MIS Device Structures S.S. Hullavarad, D.E. Pugel, E.B. Jones, R.D. Vispute, T. Venkatesan

2 Journal of ELECTRONIC MATERIALS 2007 DOI: /s Ó 2007 TMS Regular Issue Paper Low Leakage Current Transport and High Breakdown Strength of Pulsed Laser Deposited HfO 2 /SiC Metal-Insulator-Semiconductor Device Structures S. S. HULLAVARAD, 1,4 D. E. PUGEL, 2,3 E. B. JONES, 2 R. D. VISPUTE, 2 and T. VENKATESAN 2 1. Office of Electronic Miniaturization, University of Alaska Fairbanks, Fairbanks, AK99701, USA. 2. Center for Superconductivity Research, University of Maryland, College Park, MD20742, USA. 3. Detector Development Branch, Goddard Space Flight Center, NASA, Greenbelt, MD 20770, USA fnssh1@uaf.edu Hafnium oxide (HfO 2 ) thin films were deposited by the pulsed laser deposition (PLD) method on SiC substrates. The bandgap of HfO 2 thin films was observed to be 5.8 ev. The chemical nature and stoichiometry of the films were analyzed by x-ray photoelectron spectroscopy (XPS). Metal-insulator-semiconductor (MIS) structures with Ni as a top electrode and TiN as a bottom electrode were fabricated to study the leakage current properties. The devices exhibited leakage current density of 50 na/cm 2. The dielectric constant of these films is estimated to be in the range from capacitance-voltage (C-V) measurements. The frequency dependence of the interface trapped charges is studied. Key words: High k dielectric, passivation, pulsed laser deposition (PLD), x-ray photoelectron spectroscopy (XPS), Current-voltage (I-V), capacitance-voltage (C-V) INTRODUCTION High k dielectric metal oxide films have attracted much attention as promising candidates for the forthcoming gate dielectric materials of metal oxide field effect transistors, in place of SiO 2 films. 1 Silicon oxide and silicon nitride dielectrics are commonly used in conventional metal-insulatorsemiconductor (MIS) structures. These materials provide good voltage linearity and lower temperature coefficients, but are lacking due to low dielectric constants (k 3.8 for silicon oxide and 8 for silicon nitride). To increase the capacitance, while reducing the tunneling current, various kinds of high dielectric materials have been investigated as possible alternatives to SiO 2. 2 Owing to its ability to handle high critical electric field, electron saturation velocity, and thermal conductivity, SiC has advantages over Si (quantitatively 186 times better than Si). 3 In the case of SiC-based power devices (thyristors), one must find a way to apply an electric (Received January 20, 2006; accepted October 10, 2006) field with a strength close to the critical value for SiC. This puts enormous constraints on the field handling by the dielectric layer on the side walls of the device, and hence, high dielectric constant materials have been under study for the purpose of side wall passivation along with the planar dielectric applications. 4 The field strength in the insulator will be scaled by a factor of e SiC /e i, where e SiC = 9.66 and e i is the relative dielectric constant of an insulator. Hence, to reach a field strength in SiC of 2.5 MV/cm, the field in the SiO 2 (e = 3.9) must exceed 6 MV/cm, leading to severe reliability problems. In the past, we have investigated AlN as a viable gate dielectric passivation 5 and evolved different ways to deposit high quality, with lowest leakage current and uniform side wall coverage on vertical wall devices. In our quest to enhance the field handling capability, we have investigated HfO 2, in the present paper, because of its higher dielectric constant and high stability against thermal treatments. 6 HfO 2 has been in the forefront of dielectric applications in recent years employed in Si-based technology. However, recently, there have been

3 Hullavarad, Pugel, Jones, Vispute, and Venkatesan reports by Afanasev et al. 5 and others on the application of HfO 2 stacks on SiC for low leakage current applications. HfO 2 has a dielectric constant varying from 15 to 26 with a bandgap of 5.8 ev, with a favorable conduction and valance band offsets with respect to SiC. 7 HfO 2 exhibits better thermodynamic stability, as would follow from the more negative Gibbs energy of formation 260 kcal mol )1. It has a refractive index of 1.92 at 0.6 lm and 2.1 at 250 nm. 8 HfO 2 has a higher density of gcm )3 compared to most of the oxide materials used in alternate dielectric applications. 9 HfO 2 -based dielectrics have been extensively evaluated and various deposition techniques were demonstrated to be capable of depositing HfO 2, including physical vapor deposition, 10 chemical vapor deposition, 11,12 and atomic layer deposition (ALD) 13,14 techniques. In these techniques, the resulting films though electrically stable might be optically inhomogeneous (nonsharp optical absorption) due to low kinetic energy (E 0.1 ev) 15 of the depositing atoms arriving at the substrate surface. However, the plasma-assisted processes such as pulsed laser deposition (PLD) and the reactive sputtering 16 techniques have advantages over these techniques in providing higher kinetic energy to the species. In this paper, we report the low leakage current transport from PLD deposited HfO 2 films sandwiched in the form of MIS. EXPERIMENTAL HfO 2 thin films (2000 A) were deposited by PLD using a laboratory prepared HfO 2 target (99.999% purity) at a oxygen pressure of 100 mtorr after obtaining a base vacuum of 2 10 )6 Torr in the chamber. The p-sic (Cree) substrates were cleaned in dilute HF for 2 minutes in an ultrasonic bath, leaving a hydrogen-terminated SiC surface. In order to avoid the formation of a SiO 2 interfacial layer, the films were deposited at a relatively low substrate temperature of 700 C. A KrF excimer laser (248 nm) with a laser fluence of 2 J/cm 2 and a repetition rate of 10 Hz was used. A thin nucleation (buffer) layer (thickness 20 A) of HfO 2 was deposited in vacuum before growing the film of thickness 2000 A. Thin films were characterized by UV-visible transmission spectroscopy (Shimadzu 2501 PC) to measure the optical band gap. The binding environments of HfO 2 with the SiC substrate and the chemical nature of different species were monitored by x-ray photoelectron spectroscopy (XPS) (Kratos) with Mg K a radiation. The chemical nature of constituent elements as a function of the film thickness was measured by Ar + etching in-situ XPS measurements. The MIS capacitors were fabricated by depositing Ni top electrodes using the PLD method through a shadow mask. An accurate electrode area of each capacitor was measured using scanning electron microscopy and found to be 300 lm 300 lm in size Fig. 1. Schematic of device configuration consisting of Ni/HfO 2 /p- SiC/TiN. Metal contacts were deposited by PLD. (Fig. 1). The back side of the SiC wafer was HF cleaned prior to metallization with TiN. A Hewlett- Packard 4194A impedance meter and Keithley 2700 source meter were used for the capacitance-voltage (C-V) and leakage-current density-voltage (J-V) measurements, respectively. The C-V measurement was carried out for the frequency range KHz. RESULTS AND DISCUSSION Figure 2 shows the optical transmission spectra for the HfO 2 films deposited on the Al 2 O 3 substrate. The films exhibited 80 85% optical transmission in the visible and UV range. The film showed a sharp drop in the optical transmission at 215 nm corresponding to a band gap of 5.8 ev, which is close to the bulk HfO 2 bandgap value. 17 Because the HfO 2 film will be studied for transport properties at higher temperatures, the as-deposited film was annealed at 500 C in oxygen. The optical transparency is enhanced by 3 4% when the film was annealed at Transmission (%) Annealed at 500 o C in O 2 E g = 5.8 ev HfO 2 /Al 2 O Wavelength (nm) Fig. 2. UV-visible transmission spectra of HfO 2 samples for as-deposited and annealed films in O 2 at 500 C.

4 Low Leakage Current Transport and High Breakdown Strength of Pulsed Laser Deposited HfO 2 /SiC Metal-Insulator-Semiconductor Device Structures 500 C in oxygen, and no degradation in the film quality was observed. Figure 3 shows the XPS spectra of Hf 4f, O 1s, and Si 2p core levels for the as-deposited and for Ar ion in-situ sputtering after 300 s and 600 s. The spectra were deconvoluted into Gaussian Lorentzian features corresponding to different chemical states. Table I lists the different species of Hf, O, and Si for the as-deposited and Ar ion-etched samples. One can see from the peak positions of Hf 4f, after successive depth profile from the top surface to the interface, that the peak positions have changed from ev for as-deposited to 17.8 ev and 18 ev for 300s and 600s Ar + ion-etched samples, respectively. Also, the presence of a peak at ev 19 in the 600 s etched sample indicates a metallic Hf. This could be due to nonstoichiometric HfO 2 film deposited at the beginning of the process. The rather high signal intensities in the BE region between the two Hf 4f peaks by spin-orbital splitting (4f 7/2 and 4f 5/2) suggests that the films were composed of HfO 2 and Hf silicate. 20 The low energy state for O1s in the as-deposited sample centered at ev, with a full-width at half-maximum (FWHM) of 1.3 ev, is attributed to O in HfO There is a small peak at ev, which might be attributed to the HfO x, where x <2. However, when the few monolayers have been sputtered for 300 s and 600 s, the O1s spectra has a nonstoichiometric HfO x with an additional peak around ev, which is found to be associated with HfSi x O y. 22 The absence of line Si2p at 99.5 ev commonly associated with the Si-Si bond and one at ev for Si-O bond suggest that the SiC surface does not have a much discussed SiO 2 layer. 23 The formation of SiO 2 seems to be dependent on the deposition process as observed in the ALD technique, where there is a distinct peak appearing at 103 ev. 24,25 The C-V measurements, as shown in Fig. 4, were carried out for the MIS devices in a frequency range of MHz. The dielectric constant of HfO 2 is evaluated in the accumulation mode and is found to be in the range of 17 24, which is close to the Hf 4f O 1s Si 2p 600 s Etched 4f 7/2 a 600 s Etched 600 s Etched Si 2p a O 1s a 4f 5/2 a 4f 7/2 b 4f 5/2 b O 1s b Si 2p b 300 s Etched 4f 7/2 a 300 s Etched O 1s a 300 s Etched Si 2p a 4f 5/2 a 4f 7/2 b 4f 5/2 b O 1s b Si 2p b 4f 7/2 4f 5/2 O 1s a Si 2p O 1s b Binding Energy (ev) Binding Energy (ev) Binding Energy (ev) Fig. 3. XPS spectra of HfO 2 thin films for the as-deposited, 300 s, and 600 s Ar ion sputter etched samples.

5 Hullavarad, Pugel, Jones, Vispute, and Venkatesan Table I. Binding Energy (ev) Values of Hf, Si, and O Species Obtained from the Gaussian Fitting of XPS Spectra. Hf 4f (ev) 7/2 5/2 O 1s (ev) Si 2p (ev) Conditions a b a b a b a b As-deposited s etched s etched C/C ox KHz 20KHz 40KHz 100KHz 200KHz 400KHz I g (A cm -2 ) o C 175 o C 150 o C 125 o C 100 o C 75 o C 50 o C RT RT 50C 75C 100C 125C 150C 175C 200C Voltage (V) Fig. 4. Capacitance-voltage plots as a function of frequency. A dielectric constant of was derived from these measurements V g (Volts) Fig. 5. Current-voltage characteristics for Ni/HfO 2 /p-sic/tin over the temperature range of RT 200 C. reported value of 25. The interesting point to note in C-V measurements is the observation of a hump while going from accumulation to depletion, which is a strong function of applied frequency. The origin of this hump lies in the trapped interface charges located at the interface between the dielectric and the SiC surface. It is well known that the presence of interfacial silicon dioxide degrades the performance of high k dielectric MIS devices. 26 Its low dielectric constant (k 3.9), in series with the high k material, lowers the overall capacitance of the gate dielectric stack. Low quality native oxide also results in a high concentration of dangling bonds (>10 12 /cm 2 ) at the SiC interface. 27 The interfacial oxide layer of the as-deposited samples is thicker than expected from the standard SiC oxidation rate at the deposition temperature, suggesting the presence of localized fixed charges. It has been proposed that the deposited metal oxide enhances oxygen dissociation, increasing the flux of oxidizing atoms at the interface and that Hf-SiC bonds form as temporary reactive intermediates during deposition, thereby enhancing the oxidation rate at the interface. 28 However, the interface-trapped charges related hump in the C-V curves slowly starts flattening after 40 khz. The strong dependence of this effect on the applied frequency confirms the dynamic trapping and detrapping of active sites during the measurement. Figure 5 gives the I-V characteristics of Ni/HfO 2 / SiC/TiN (MIS) devices for temperatures up to 200 C. The I-V measurements were carried out over the voltage range V, corresponding to a breakdown field of 0.5 MV/cm to. The I- V measurements were recorded as a function of temperature from room temperature to 200 C in steps of 25 C. The devices exhibited low leakage current density of 40 na cm )2 at room temperature and remained in the nanoampere region until they elevated to 75 C, and the leakage current density increased to 10 la/cm 2 for an applied voltage of 30 V corresponding to a breakdown field of 0.5 MV/ cm. The breakdown field mentioned in the study does not correspond to the actual breakdown voltage of the device; rather it is a safe value of field to handle the device. The actual field may be higher than this value. Figure 6 depicts the current

6 Low Leakage Current Transport and High Breakdown Strength of Pulsed Laser Deposited HfO 2 /SiC Metal-Insulator-Semiconductor Device Structures J (A/cm 2 ) MV/cm 0.5 MV/cm 1.0 MV/cm 1.5 MV/cm 2.0 MV/cm 2.5 MV/cm 3.0 MV/cm ln I g MV/cm 2.5 MV/cm 2.0 MV/cm 1.5 MV/cm 1.0 MV/cm 0.5 MV/cm E a =1.31 ev /T (1/K) Fig. 6. Ni/HfO 2 /p-sic/tin capacitor leakage current density as a function of inverse temperature from RT to 200 C for several gate fields /T (1/K) Fig. 7. Arrhenius plots for Ni/HfO 2 /p-sic/tin capacitor for gate fields of e i 0.5. densities derived from I-V characteristics for dielectric fields of 0.5 MV/cm and as a function of inverse temperature. From the measurements, it is clear that the maximum leakage current densities at maximum device temperature of 200 C are 5 10 )7 A/cm 2 and 5 10 )5 A/cm 2 for dielectric field strengths of 0.5 MV/cm and 3.5 MV/ cm, respectively. As noted by Scozzie et al., the rate limiting conduction process, as evident in the temperature-activated region of the spectrum, is because of the Frenkel Poole (FP) or Schottky emission from the SiC conduction band into the HfO 2 dielectric insulator. However, below 100 C, the leakage current is independent of temperature and is due to the tunneling of carriers across the bands. This tunneling mechanism might be due to emission of trapped holes in the lower filled band in the dielectric insulator. The holes in the SiC valance band drift tunnel into the localized charged regions at the interface of HfO 2 and SiC. The Arrhenius plots for the I-V measurements shown in Fig. 5 are plotted in Fig. 7 for dielectric fields from 0.5 MV/cm to in a temperature range of C. From the plots, the activation energy is calculated to be 1.31 ev. Figure 8 gives Schottky plots 29 for the leakage current densities of Fig. 5 for e i of 1.0 MV/cm and. All curves give exceedingly linear relationships, although the slope is slightly greater for the 3.5 MV/ cm case. Values for the Richardson constant or current density prefactor for Schottky emission can be determined from the intercepts of the plots in Fig. 8. Current prefactors were extracted from the data. These prefactors are in remarkable agreement with the theoretical value of 43 A/cm 2 /K 2 for the Richardson constant for 4H-SiC, which is calculated using an effective hole mass from the formula ln (J/T 2 ) MV/cm A ¼ 4pqk2 m h /T (1/K) Fig. 8. Schottky plots for Ni/HfO 2 /p-sic/tin structures for gate fields of e i 0.5 and. where m * is the hole mass, q is the electronic charge, k is BoltzmannÕs constant, and h is PlankÕs constant. The hole effective mass, m * /m, for 4H-SiC is calculated to be 0.21, which is in close agreement with the values reported in the range of CONCLUSIONS The PLD deposited HfO 2 samples on SiC show excellent leakage properties (40 na/cm 2 ) with dielectric constant values of close to the ideal

7 Hullavarad, Pugel, Jones, Vispute, and Venkatesan value. The HfO 2 films could be used for device passivation for the uniform 3-D coverage owing to their excellent dielectric and thermal stability properties. ACKNOWLEDGEMENTS This research was funded by the U.S. Army Research Laboratory under the Power and Energy Electronics Research Program, Contract No. DAAD The authors acknowledge the support and fruitful discussions with C.J. Scozzie, A. Lelis, B. Geil, and D. Habersat, U.S. Army Research Laboratory (Adelphi, MD). One of the authors (SSH) acknowledges the Defense Micro- Electronic Activity (DMEA) for financial support at the University of Alaska, Fairbanks. REFERENCES 1. M. Houssa, ed., High k Dielectrics (Institute of Physics Publishing, 2004). 2. E.P. Gusev, E. Cartier, D.A. Buchanan, M. Gribelyuk, M. Copel, H. Okorn-Schmidt, and C.D. Emic, Microelectron. Eng. 59, 341 (2001). 3. Zolper, et al., MRS Bull. 30, 273 (2005). 4. S.S. Hullavarad, et al., J. Electronic Mater. 35, 777 (2006). 5. C.J. Scozzie, A.J. Lelis, F.B. McLean, R.D. Vispute, S. Choopun, A. Patel, R.P. Sharma, and T. Venkatesan, J. Appl. Phys. 86, 4052 (1999). 6. M. Gutowski, J.E. Jaffe, C.L. Liu, M. Stoker, R.I. Hegde, R.S. Rai, and P.J. Tobin, Appl. Phys. Lett. 80, 1897 (2002). 7. V.V. Afanasev, A. Stesmans, F. Chen, X. Shi, and S.A. Campbell, Appl. Phys. Lett. 81, 1053 (2002). 8. J.D. Traylor Kruschwitz and W.T. Pawlewicz, Appl. Opt. 36, 2157 (1997). 9. M. Jerman, Z. Qiao, and D. Mergel, Appl. Opt. 44, 3006 (2005). 10. B.H. Lee, L. Kang, W.J. Qi, R. Nieh, Y. Jeon, K. Onishi, and J.C. Lee, IEDM Tech. Dig (1999). 11. S. Sayan, S. Aravamudhan, B.W. Busch, W.H. Schulte, F. Cosandey, G.D. Wilk, T. Gustafsson, and E. Garfunkel, J. Vac. Sci. Technol. A 20, 507 (2002). 12. K. Onishi, C.S. Kang, R. Choi, H.J. Cho, S. Gopalan, R. Neih, E. Dharmarajan, and J.C. Lee, IEDM Tech. Dig (2001). 13. M. Cho, J. Park, H. Park, C.S. Hwang, J. Jeong, and K.S. Hyun, Appl. Phys. Lett. 81, 334 (2002). 14. E.P. Gusev et al., IEDM Tech. Dig (2001). 15. J.P. Lehan, Y. Mao, B.G. Bovard, and H.A. Macleod, Thin Solid Films 203, 227 (1991). 16. K. Yamamoto, S. Hayashi, M. Kubota, and M. Niwa, Appl. Phys. Lett. 81, 2053 (2002). 17. G.V. Samsonov. (ed). The Oxide Handbook, 2nd, IFI/Plenum, New York, (1982). 18. K. Yamamoto, S. Hayashi, M. Kubota, and M. Niwa, Appl. Phys. Lett. 81, 2053 (2002). 19. S. Ramanathan, D. Chi, P.C. McIntyre, C.J. Wetteland, and J.R. Tesmer, J. Electrochem. Soc. 150, F110 (2003). 20. H.B. Park, M. Cho, J. Park, S.W. Lee, C.S. Hwang, J.P. Kim, J.H. Lee, H.K. Kang, J.C. Lee, and S.J. Oh, J. Appl. Phys. 94, 3641 (2003). 21. C.D. Wagner, W.M. Riggs, L.E. Davis, J.F. Moulder, G.E. Mullenberg (eds). Handbook of X-ray Photoelectron Spectroscopy Perkin-Elmer Corp, Eden Prairie, MN, (1979). 22. G.D. Wilk, R.M. Wallace, and J.M. Anthony, J. Appl. Phys. 87, 484 (2000). 23. K.P. Bastos, J. Morais, L. Miotti, R.P. Pezzi, G.V. Soares, I.J.R. Baumvol, R.I. Hegde, H.H. Tseng, and P.J. Tobin, Appl. Phys. Lett. 81, 1669 (2002). 24. H.Y. Yu, et al., Appl. Phys. Lett. 81, 3618 (2002). 25. J.Y. Dai, P.F. Lee, K.H. Wong, H.L.W. Chan, and C.L. Choy, J. Appl. Phys. 94, 912 (2003). 26. Vogel, et al., Solid State Electr. 47, 1589 (2003). 27. Sareet Dhar, MRS Bull. 30, 285 (2005). 28. V. Misra, G. Lucovsky, and G. Parsons, Mater. Res. Bull. 27, 212 (2002). 29. S.M. Sze Physics of Semiconductor Devices, 2nd, Wiley, New York, (1981). 30. Chanana, et al., Appl. Phys. Lett. 77, 2560 (2000).

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices

Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices Applied Surface Science 212 213 (2003) 563 569 Electronic structure of transition metal high-k dielectrics: interfacial band offset energies for microelectronic devices Gerald Lucovsky *, Gilbert B. Rayner

More information

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate Hu Ai-Bin( 胡爱斌 ) and Xu Qiu-Xia( 徐秋霞 ) Institute of Microelectronics,

More information

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii Graduate School of

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET

Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Microelectronics Reliability xxx (2007) xxx xxx www.elsevier.com/locate/microrel Electrical measurements of voltage stressed Al 2 O 3 /GaAs MOSFET Z. Tang a, P.D. Ye b, D. Lee a, C.R. Wie a, * a Department

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information

CVD-3 LFSIN SiN x Process

CVD-3 LFSIN SiN x Process CVD-3 LFSIN SiN x Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard LFSIN Process NH 3 Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump to

More information

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process

CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process CVD-3 MFSIN-HU-2 SiN x Mixed Frequency Process Standard MFSIN-HU-2 Process Top C Bottom C Pump to Base Time (s) SiH 4 Flow HF/ LF NH 3 Flow HF/LF N 2 HF/LF HF (watts) LF (watts) HF Time LF Time Pressure

More information

CVD-3 SIO-HU SiO 2 Process

CVD-3 SIO-HU SiO 2 Process CVD-3 SIO-HU SiO 2 Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard SIO-HU Process N 2 O Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump

More information

CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process

CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process CVD-3 MFSIN-HU-1 SiN x Mixed Frequency Process Standard MFSIN-HU-1 Process Top C Bottom C Pump to Base Time (s) SiH 4 Flow HF/ LF NH 3 Flow HF/LF N 2 HF/LF HF (watts) LF (watts) HF Time LF Time Pressure

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC

Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC Energy position of the active near-interface traps in metal oxide semiconductor field-effect transistors on 4H SiC Author Haasmann, Daniel, Dimitrijev, Sima Published 2013 Journal Title Applied Physics

More information

Processing and Characterization of GaSb/High-k Dielectric Interfaces. Pennsylvania 16802, USA. University Park, Pennsylvania 16802, USA

Processing and Characterization of GaSb/High-k Dielectric Interfaces. Pennsylvania 16802, USA. University Park, Pennsylvania 16802, USA 10.1149/1.3630839 The Electrochemical Society Processing and Characterization of GaSb/High-k Dielectric Interfaces E. Hwang a, C. Eaton b, S. Mujumdar a, H. Madan a, A. Ali a, D. Bhatia b, S. Datta a and

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Yutaka Tokuda Department of Electrical and Electronics Engineering, Aichi Institute of Technology,

More information

Sol gel deposited ceria thin films as gate dielectric for CMOS technology

Sol gel deposited ceria thin films as gate dielectric for CMOS technology Bull. Mater. Sci., Vol. 36, No. 2, April 2013, pp. 259 263. c Indian Academy of Sciences. Sol gel deposited ceria thin films as gate dielectric for CMOS technology ANIL G KHAIRNAR and ASHOK M MAHAJAN Department

More information

Effects of Antimony Near SiO 2 /SiC Interfaces

Effects of Antimony Near SiO 2 /SiC Interfaces Effects of Antimony Near SiO 2 /SiC Interfaces P.M. Mooney, A.F. Basile, and Zenan Jiang Simon Fraser University, Burnaby, BC, V5A1S6, Canada and Yongju Zheng, Tamara Isaacs-Smith Smith, Aaron Modic, and

More information

Effects of Ultraviolet Exposure on the current-voltage characteristics of. high-k dielectric layers

Effects of Ultraviolet Exposure on the current-voltage characteristics of. high-k dielectric layers Effects of Ultraviolet Exposure on the current-voltage characteristics of high-k dielectric layers H. Ren 1, A. Sehgal 1, G.A. Antonelli 2, Y. Nishi 3 and J.L. Shohet 1 1 Plasma Processing & Technology

More information

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

Improved Interfacial and Electrical Properties of GaSb Metal Oxide Improved Interfacial and Electrical Properties of GaSb Metal Oxide Semiconductor Devices Passivated with Acidic (NH 4 ) 2 S Solution Lianfeng Zhao, Zhen Tan, Jing Wang, and Jun Xu * Tsinghua National Laboratory

More information

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 July 2008 SLAC-PUB-13302 The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface Yun Sun, a) Zhi Liu, Shiyu Sun, Piero Pianetta Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 The

More information

Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors

Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors Fabrication and Characterization of Al/Al2O3/p-Si MOS Capacitors 6 MOS capacitors were fabricated on silicon substrates. ALD deposited Aluminum Oxide was used as dielectric material. Various electrical

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Half-Integer Quantum Conductance States

Half-Integer Quantum Conductance States Supporting Information A 50 mv Cu/SiO /W Memristor with Half-Integer Quantum Conductance States S. R. Nandakumar, Marie Minvielle, Saurabh Nagar, Catherine Dubourdieu, and Bipin Rajendran, Department of

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100)

Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100) Paper Band alignment and optical properties of (ZrO 2 ) 0.66 (HfO 2 ) 0.34 gate dielectrics thin films on p-si (100) Hye Chung Shin, 1 Lee Seul Son, 1 Kyeom Ryong Kim, 1 Suhk Kun Oh, 1 Hee Jae Kang, 1*

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Journal of the Korean Physical Society, Vol. 44, No. 1, January 2004, pp. 112 116 Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors Y. K. Park, Y. S. Ahn, S. B. Kim, K. H. Lee, C. H.

More information

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System Journal of Physics: Conference Series PAPER OPEN ACCESS High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System To cite this

More information

The interfacial study on the Cu 2 O/Ga 2 O 3 /AZO/TiO 2 photocathode for water splitting fabricated by pulsed laser deposition

The interfacial study on the Cu 2 O/Ga 2 O 3 /AZO/TiO 2 photocathode for water splitting fabricated by pulsed laser deposition Electronic Supplementary Material (ESI) for Catalysis Science & Technology. This journal is The Royal Society of Chemistry 2017 The interfacial study on the Cu 2 O/Ga 2 O 3 /AZO/TiO 2 photocathode for

More information

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX Understanding process-dependent oxygen vacancies in thin HfO 2 /SiO 2 stacked-films on Si (100) via competing electron-hole injection dynamic contributions to second harmonic generation. J. Price, 1,2

More information

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure

Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure 2017 Asia-Pacific Engineering and Technology Conference (APETC 2017) ISBN: 978-1-60595-443-1 Large Storage Window in a-sinx/nc-si/a-sinx Sandwiched Structure Xiang Wang and Chao Song ABSTRACT The a-sin

More information

Characterization of Stoichiometric ZrO 2 Thin Film on Si by Angle- Resolved X-ray Photoelectron Spectroscopy

Characterization of Stoichiometric ZrO 2 Thin Film on Si by Angle- Resolved X-ray Photoelectron Spectroscopy Key Engineering Materials Submitted: 2015-11-25 ISSN: 1662-9795, Vol. 701, pp 77-82 Revised: 2016-02-25 doi:10.4028/www.scientific.net/kem.701.77 Accepted: 2016-03-29 2016 Trans Tech Publications, Switzerland

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Electron capture and emission properties of interface states in thermally oxidized and NO-annealed SiO 2 /4H-SiC

Electron capture and emission properties of interface states in thermally oxidized and NO-annealed SiO 2 /4H-SiC JOURNAL OF APPLIED PHYSICS 103, 033701 2008 Electron capture and emission properties of interface states in thermally oxidized and NO-annealed SiO 2 /4H-SiC X. D. Chen, 1,a S. Dhar, 2 T. Isaacs-Smith,

More information

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors M. Adachi 1, K. Okamoto 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, N.

More information

Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition

Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition Composites: Part B 30 (1999) 685 689 www.elsevier.com/locate/compositesb Diamond-like carbon film deposition on PZT ferroelectrics and YBCO superconducting films using KrF excimer laser deposition K. Ebihara*,

More information

Supporting Information

Supporting Information Supporting Information Oh et al. 10.1073/pnas.0811923106 SI Text Hysteresis of BPE-PTCDI MW-TFTs. Fig. S9 represents bidirectional transfer plots at V DS 100VinN 2 atmosphere for transistors constructed

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility Supporting Information Effects of Environmental Water Absorption by Solution-Deposited Al 2 O 3 Gate Dielectrics on Thin Film Transistor Performance and Mobility Trey B. Daunis, James M. H. Tran, and Julia

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:10.1038/nature11231 Materials and Methods: Sample fabrication: Highly oriented VO 2 thin films on Al 2 O 3 (0001) substrates were deposited by reactive sputtering from a vanadium target through reactive

More information

Fabrication and Characteristics Study Ni-nSiC Schottky Photodiode Detector

Fabrication and Characteristics Study Ni-nSiC Schottky Photodiode Detector Fabrication and Characteristics Study Ni-nSiC Schottky Photodiode Detector Muhanad A. Ahamed Department of Electrical, Institution of Technology, Baghdad-Iraq. Abstract In the present work, schottky photodiode

More information

Border Trap Characterisation by Measurements of Current- Voltage Characteristics of MOS Capacitors

Border Trap Characterisation by Measurements of Current- Voltage Characteristics of MOS Capacitors Border Trap Characterisation by Measurements of Current- Voltage Characteristics of MOS Capacitors Author Dimitrijev, Sima, Tanner, Philip, Harrison, Barry Published 1995 Conference Title 20th International

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

ECE 340 Lecture 39 : MOS Capacitor II

ECE 340 Lecture 39 : MOS Capacitor II ECE 340 Lecture 39 : MOS Capacitor II Class Outline: Effects of Real Surfaces Threshold Voltage MOS Capacitance-Voltage Analysis Things you should know when you leave Key Questions What are the effects

More information

Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures

Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures 034 Chin. Phys. B Vol. 19, No. 5 2010) 057303 Characteristics and parameter extraction for NiGe/n-type Ge Schottky diode with variable annealing temperatures Liu Hong-Xia ), Wu Xiao-Feng ), Hu Shi-Gang

More information

Bipolar resistive switching in amorphous titanium oxide thin films

Bipolar resistive switching in amorphous titanium oxide thin films Bipolar resistive switching in amorphous titanium oxide thin films Hu Young Jeong and Jeong Yong Lee Department of Materials Science and Engineering, KAIST, Daejeon 305-701, Korea Min-Ki Ryu and Sung-Yool

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES

DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE METAL-OXIDE-SEMICONDUCTOR (MOS) STRUCTURES DISTRIBUTION OF POTENTIAL BARRIER HEIGHT LOCAL VALUES AT Al-SiO 2 AND Si-SiO 2 INTERFACES OF THE ETAL-OXIDE-SEICONDUCTOR (OS) STRUCTURES KRZYSZTOF PISKORSKI (kpisk@ite.waw.pl), HENRYK. PRZEWLOCKI Institute

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

1658 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 7, JULY 2003

1658 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 7, JULY 2003 1658 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 7, JULY 2003 Electrical Characterization and Process Control of Cost-Effective High-k Aluminum Oxide Gate Dielectrics Prepared by Anodization Followed

More information

Xps Study of the Oxidation State of Uranium Dioxide

Xps Study of the Oxidation State of Uranium Dioxide https://doi.org/10.15415/jnp.2017.51022 Xps Study of the Oxidation State of Uranium Dioxide J A LÓPEZ 1*, C DÍAZ MORENO 1, J MURILLO 2 AND L ECHEGOYEN 2 1 Department of Physics, University of Texas at

More information

Defects in Semiconductors

Defects in Semiconductors Defects in Semiconductors Mater. Res. Soc. Symp. Proc. Vol. 1370 2011 Materials Research Society DOI: 10.1557/opl.2011. 771 Electronic Structure of O-vacancy in High-k Dielectrics and Oxide Semiconductors

More information

Role of Electrochemical Reactions in the Degradation Mechanisms of AlGaN/GaN HEMTs

Role of Electrochemical Reactions in the Degradation Mechanisms of AlGaN/GaN HEMTs Role of Electrochemical Reactions in the Degradation Mechanisms of AlGaN/GaN HEMTs Feng Gao 1,2, Bin Lu 2, Carl V. Thompson 1, Jesús del Alamo 2, Tomás Palacios 2 1. Department of Materials Science and

More information

Nanofabrication Lab Process Development for High-k Dielectrics

Nanofabrication Lab Process Development for High-k Dielectrics Nanofabrication Lab Process Development for Highk Dielectrics Each lab group consists of 4 to 5 students. The dates of these Labs are: Lab 1 Date 14.02.2013 Time: 812 am Lab 2 Date 14.02.2013 Time: 15

More information

Time dependent preferential sputtering in the HfO 2 layer on Si(100)

Time dependent preferential sputtering in the HfO 2 layer on Si(100) Available online at www.sciencedirect.com Thin Solid Films 516 (2008) 948 952 www.elsevier.com/locate/tsf Time dependent preferential sputtering in the HfO 2 layer on Si(100) S.J. Chang a, W.C. Lee a,

More information

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer

Enhancing the Performance of Organic Thin-Film Transistor using a Buffer Layer Proceedings of the 9th International Conference on Properties and Applications of Dielectric Materials July 19-23, 29, Harbin, China L-7 Enhancing the Performance of Organic Thin-Film Transistor using

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR Journal of Physical Science, Vol. 17(2), 161 167, 2006 161 A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR A.Y. Hudeish 1,2* and A. Abdul Aziz 1 1 School of Physics, Universiti Sains Malaysia, 11800

More information

Atomic Level Analysis of SiC Devices Using Numerical Simulation

Atomic Level Analysis of SiC Devices Using Numerical Simulation Atomic Level Analysis of Devices Using Numerical mulation HIRSE, Takayuki MRI, Daisuke TERA, Yutaka ABSTRAT Research and development of power semiconductor devices with (silicon carbide) has been very

More information

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film

The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film Diamond & Related Materials 14 (2005) 1126 1130 www.elsevier.com/locate/diamond The affinity of Si N and Si C bonding in amorphous silicon carbon nitride (a-sicn) thin film C.W. Chen a, *, C.C. Huang a,

More information

Low Temperature 100 C Deposition of Aluminum Oxide Thin Films by ALD with O 3 as Oxidant

Low Temperature 100 C Deposition of Aluminum Oxide Thin Films by ALD with O 3 as Oxidant Journal of The Electrochemical Society, 153 5 F69-F76 2006 0013-4651/2006/153 5 /F69/8/$20.00 The Electrochemical Society Low Temperature 100 C Deposition of Aluminum Oxide Thin Films by ALD with O 3 as

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant Low temperature anodically grown silicon dioxide films for solar cell applications Nicholas E. Grant Outline 1. Electrochemical cell design and properties. 2. Direct-current current anodic oxidations-part

More information

Supplementary Figure 1. Visible (λ = 633 nm) Raman spectra of a-co x layers. (a) Raman spectra of

Supplementary Figure 1. Visible (λ = 633 nm) Raman spectra of a-co x layers. (a) Raman spectra of a In te n s ity [a.u.] c In te n s ity [a.u.] 6 4 2 4 3 2 1 3 2.5 2 1.5 1 p O 2 3.5 1,5 3, 4,5 R a m a n s h ift [c m -1 ] p ris tin e 1 o C 2 o C 3 o C 4 o C 5 o C b d In te n s ity [a.u.] In te n s ity

More information

VSP A gate stack analyzer

VSP A gate stack analyzer Microelectronics Reliability 47 (7) 74 78 www.elsevier.com/locate/microrel VSP A gate stack analyzer M. Karner *, A. Gehring, M. Wagner, R. Entner, S. Holzer, W. Goes, M. Vasicek, T. Grasser, H. Kosina,

More information

Electroluminescence from Silicon and Germanium Nanostructures

Electroluminescence from Silicon and Germanium Nanostructures Electroluminescence from silicon Silicon Getnet M. and Ghoshal S.K 35 ORIGINAL ARTICLE Electroluminescence from Silicon and Germanium Nanostructures Getnet Melese* and Ghoshal S. K.** Abstract Silicon

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

TRANSVERSE SPIN TRANSPORT IN GRAPHENE International Journal of Modern Physics B Vol. 23, Nos. 12 & 13 (2009) 2641 2646 World Scientific Publishing Company TRANSVERSE SPIN TRANSPORT IN GRAPHENE TARIQ M. G. MOHIUDDIN, A. A. ZHUKOV, D. C. ELIAS,

More information

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1 Enhancement Mode Strained (1.3%) Germanium Quantum Well FinFET (W fin =20nm) with High Mobility (μ Hole =700 cm 2 /Vs), Low EOT (~0.7nm) on Bulk Silicon Substrate A. Agrawal 1, M. Barth 1, G. B. Rayner

More information

Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces

Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces Cathodoluminescence spectroscopy of nitrided SiO 2 Si interfaces A. P. Young a) Department of Electrical Engineering, The Ohio State University, Columbus, Ohio 43210-1272 R. Bandhu Department of Physics,

More information

Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition

Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition Journal of the Korean Physical Society, Vol. 50, No. 6, June 2007, pp. 1814 1818 Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition

More information

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image and (b) height profile of GO obtained by spin-coating on silicon wafer, showing a typical thickness of ~1 nm. 1 Supplementary

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003 Supporting Information for Angew. Chem. Int. Ed. Z52074 Wiley-VCH 2003 69451 Weinheim, Germany Kinetic and Thermodynamic Control via Chemical Bond Rearrangement on Si(001) Surface Chiho Hamai, Akihiko

More information

Spectroscopic studies ofthe electrical structure oftransition metal and rare earth complex oxides

Spectroscopic studies ofthe electrical structure oftransition metal and rare earth complex oxides Available online at www.sciencedirect.com Physica E 21 (24) 712 716 www.elsevier.com/locate/physe Spectroscopic studies ofthe electrical structure oftransition metal and rare earth complex oxides G. Lucovsky

More information

Carrier Transport Mechanisms of a-gaas/ n-si Heterojunctions

Carrier Transport Mechanisms of a-gaas/ n-si Heterojunctions Egypt. J. Sol., Vol. (24), No. (2), (2001) 245 Carrier Transport Mechanisms of a-gaas/ n-si Heterojunctions N.I.Aly, A.A.Akl, A.A.Ibrahim, and A.S.Riad Department of Physics, Faculty of Science, Minia

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Laser Annealing of MOCVD Deposited Ferroelectric SrBi 2 Ta 2 O 9, Pb(Zr X Ti 1-X )O 3 and CeMnO 3 Thin Films

Laser Annealing of MOCVD Deposited Ferroelectric SrBi 2 Ta 2 O 9, Pb(Zr X Ti 1-X )O 3 and CeMnO 3 Thin Films 1 Laser Annealing of MOCVD Deposited Ferroelectric SrBi 2 Ta 2 O 9, Pb(Zr X Ti 1-X )O 3 and CeMnO 3 Thin Films N.M. Sbrockey 1, J.D. Cuchiaro 1, L.G. Provost 1, C.E. Rice 1, S. Sun 1, G.S. Tompa 1, R.L.

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

A New High Voltage 4H-SiC Lateral Dual Sidewall Schottky (LDSS) Rectifier: Theoretical Investigation and Analysis

A New High Voltage 4H-SiC Lateral Dual Sidewall Schottky (LDSS) Rectifier: Theoretical Investigation and Analysis M. Jagadesh Kumar and C. Linga Reddy, "A New High Voltage 4H-SiC Lateral Dual Sidewall Schottky (LDSS) Rectifier: Theoretical Investigation and Analysis", IEEE Trans. on Electron Devices, Vol.50, pp.1690-1693,

More information

MENA9510 characterization course: Capacitance-voltage (CV) measurements

MENA9510 characterization course: Capacitance-voltage (CV) measurements MENA9510 characterization course: Capacitance-voltage (CV) measurements 30.10.2017 Halvard Haug Outline Overview of interesting sample structures Ohmic and schottky contacts Why C-V for solar cells? The

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Engineered doping of organic semiconductors for enhanced thermoelectric efficiency G.-H. Kim, 1 L. Shao, 1 K. Zhang, 1 and K. P. Pipe 1,2,* 1 Department of Mechanical Engineering, University of Michigan,

More information

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Electronic Supplementary information Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter Hee Sung Lee, a Kwang H. Lee, a Chan Ho Park, b Pyo Jin

More information

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS Y. Sun School of Electrical & Electronic Engineering Nayang Technological University Nanyang Avenue, Singapore 639798 e-mail: 14794258@ntu.edu.sg Keywords:

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

ALD high-k and higher-k integration on GaAs

ALD high-k and higher-k integration on GaAs ALD high-k and higher-k integration on GaAs Ozhan Koybasi 1), Min Xu 1), Yiqun Liu 2), Jun-Jieh Wang 2), Roy G. Gordon 2), and Peide D. Ye 1)* 1) School of Electrical and Computer Engineering, Purdue University,

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

MOS CAPACITOR AND MOSFET

MOS CAPACITOR AND MOSFET EE336 Semiconductor Devices 1 MOS CAPACITOR AND MOSFET Dr. Mohammed M. Farag Ideal MOS Capacitor Semiconductor Devices Physics and Technology Chapter 5 EE336 Semiconductor Devices 2 MOS Capacitor Structure

More information

ABSTRACT 1. INTRODUCTION 2. EXPERIMENT

ABSTRACT 1. INTRODUCTION 2. EXPERIMENT Fabrication of Nanostructured Heterojunction LEDs Using Self-Forming Moth-Eye Type Arrays of n-zno Nanocones Grown on p-si (111) Substrates by Pulsed Laser Deposition D. J. Rogers 1, V. E. Sandana 1,2,3,

More information

Leakage Mechanisms. Thin films, fully depleted. Thicker films of interest for higher voltage applications. NC State

Leakage Mechanisms. Thin films, fully depleted. Thicker films of interest for higher voltage applications. NC State Leakage Mechanisms Thin films, fully depleted Leakage controlled by combined thermionic / field emission across the Schottky barrier at the film-electrode interfaces. Film quality effects barrier height,

More information

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Semiconductor Devices C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 5 Global leader in environmental and industrial measurement Wednesday 3.2. afternoon Tour around facilities & lecture

More information

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices V. W. Ballarotto, K. Siegrist, R. J. Phaneuf, and E. D. Williams University of Maryland and Laboratory for Physical

More information