A New Assertion Property Language for Analog/Mixed-Signal Circuits

Size: px
Start display at page:

Download "A New Assertion Property Language for Analog/Mixed-Signal Circuits"

Transcription

1 A New Assertion Property Language for Analog/Mixed-Signal Circuits Dhanashree Kulkarni, Andrew N. Fisher, Chris J. Myers Electrical and Computer Engineering Department University of Utah Frontiers in Analog CAD February 15, 2013

2 Motivation Analog/mixed-signal (AMS) verification uses detailed transistor-level (SPICE) simulations. SPICE simulation of a PLL can take weeks or even months. Long simulation time makes system-level simulation difficult. Functional bugs can be missed resulting in catastrophic failures. Model checking uses non-determinism and state exploration to formally verify designs over all possible behaviors. Has had tremendous success for verifying of both digital hardware and software systems (now routinely used at Intel, IBM, Microsoft, etc.). For AMS circuits, it is a promising mechanism to validate designs in the face of noise and uncertain parameters and initial conditions.

3 LEMA: LPN Embedded Mixed-Signal Analyzer Transistor Level Design SPICE Traditional Analog Circuit Verification Simulation Traces Model Generator SystemVerilog Model

4 LEMA: LPN Embedded Mixed-Signal Analyzer Transistor Level Design SPICE Traditional Analog Circuit Verification Simulation Traces Model Generator SystemVerilog Model Simulation Engine

5 LEMA: LPN Embedded Mixed-Signal Analyzer Transistor Level Design SPICE Traditional Analog Circuit Verification Verification Property Simulation Traces Model Generator SystemVerilog Model Simulation Engine Assertion Pass/Fail

6 LEMA: LPN Embedded Mixed-Signal Analyzer Transistor Level Design SPICE Traditional Analog Circuit Verification Verification Property Simulation Traces Model Generator Labeled Petri Net (LPN) SystemVerilog Model Model Checker Simulation Engine Pass or Fail + Error Trace Assertion Pass/Fail

7 Phase Interpolator Vdd Vdd Vbp omega omegab ctl[i] ctlb[i] phi. phib psi. psib Vbn 16 similar blocks for i =

8 Phase Interpolator Simulation

9 Phase Interpolator (Property LPN) tclk {(phi 0)} pclk pcheckmin pcheckmax... {(ctl = 1)} {(ctl = 2)} {(ctl = 3)}... tfailmin tmin1 tmin2 tmin3 tmax1 tmax2 tmax3 (omega 2.2)} {(ctl = 1)} {(ctl = 2)} {(ctl = 3)} [1375] [1315] [1255] [1385] [1325] [1265] treset { (phi 0)} pcheck tcheck {(omega 2.2)} preset

10 Phase Interpolator (Property LPN) tclk {(phi 0)} pclk pcheckmin pcheckmax... {(ctl = 1)} {(ctl = 2)} {(ctl = 3)}... tfailmin tmin1 tmin2 tmin3 tmax1 tmax2 tmax3 (omega 2.2)} {(ctl = 1)} {(ctl = 2)} {(ctl = 3)} [1375] [1315] [1255] [1385] [1325] [1265] treset { (phi 0)} pcheck tcheck {(omega 2.2)} preset

11 Phase Interpolator (Property LPN) tclk {(phi 0)} pclk pcheckmin pcheckmax... {(ctl = 1)} {(ctl = 2)} {(ctl = 3)}... tfailmin tmin1 tmin2 tmin3 tmax1 tmax2 tmax3 (omega 2.2)} {(ctl = 1)} {(ctl = 2)} {(ctl = 3)} [1375] [1315] [1255] [1385] [1325] [1265] treset { (phi 0)} pcheck tcheck {(omega 2.2)} preset

12 Phase Interpolator (Property LPN) tclk {(phi 0)} pclk pcheckmin pcheckmax... {(ctl = 1)} {(ctl = 2)} {(ctl = 3)}... tfailmin tmin1 tmin2 tmin3 tmax1 tmax2 tmax3 (omega 2.2)} {(ctl = 1)} {(ctl = 2)} {(ctl = 3)} [1375] [1315] [1255] [1385] [1325] [1265] treset { (phi 0)} pcheck tcheck {(omega 2.2)} preset

13 Phase Interpolator (Property LPN) tclk {(phi 0)} pclk pcheckmin pcheckmax... {(ctl = 1)} {(ctl = 2)} {(ctl = 3)}... tfailmin tmin1 tmin2 tmin3 tmax1 tmax2 tmax3 (omega 2.2)} {(ctl = 1)} {(ctl = 2)} {(ctl = 3)} [1375] [1315] [1255] [1385] [1325] [1265] treset { (phi 0)} pcheck tcheck {(omega 2.2)} preset

14 Property Language Translator Building property net is a tedious process. Requires user to have considerable familiarity with the tool. A new simple, intuitive property language is needed.

15 SystemVerilog Assertions (SVA) assert (A == B); assert property Clock) Req ## [10:20] Ack);

16 Real-time SVA R R ##1 R R ##0 R R or R R intersect R R[*0] R[+] b b[*α [ + ] : β [ - ]] ( (phi 0)[ > 1] ##1 (((ctl == 1) &&!(omega 2.2))[ 1375 : 1385] ##1 (omega 2.2)) or (((ctl == 2) &&!(omega 2.2))[ 1315 : 1325] ##1 (omega 2.2)) or ) (((ctl == 3) &&!(omega 2.2))[ 1255 : 1265] ##1 (omega 2.2)) ##1!(phi 0)[ > 1] where b[ > 1]!b[ 0.0 : $] ##1 b.

17 Our New Property Language wait(b) - wait until boolean expression, b, becomes true. wait(b,d) - wait at most d time units for b to become true. assert(b,d) - ensure that b remains true for d time units. assertuntil(b1,b2) - ensure that b1 remains true until b2 is true. waitposedge(b) - wait for a positive edge on b. always and if-else constructs for control flow.

18 Property Language: wait(b) RT-SVA: b[ > 1] LPN: p0 t0 {b} p1

19 Property Language: wait(b, d) RT-SVA:!b[ 0 : d] ##1 b LPN: p0 tfail0 { (b)} [d] t0 {b} p1

20 Property Language: assert(b, d) RT-SVA: b[ d : d] LPN: p0 tfail0 { b} t0 {b} [d] p1

21 Property Language: assertuntil(b1, b2) RT-SVA: ((b1 &&!b2)[ 0 : $] ##1 b2) or b2 LPN: p0 tfail0 { (b1)& (b2)} t0 {b2} p1

22 Property Language: waitposedge(b) RT-SVA:!b[ > 1] ##1 b[ > 1] LPN: p0 t0 { (b)} p1 t1 {b} p2

23 Function: if (b1) { R1 } else if (b2) { R2 } else { R3 } RT-SVA : b1 ##0 R1 or (b2 &&!b1) ##0 R2 or (!b1 &&!b2) ##0 R3 Property Language: if else

24 Property LPN: if else pstart0 t3 { (b1)&(b2)} t0 {b1} t6 { (b1)& (b2)} R2 R1 R3 pend0

25 Example 1: Property Language Whenever a goes from zero to one, b remains low for at least 5ms. property Example1 { boolean a; boolean b; always{ waitposedge (a); assert(!b, 5); } }

26 Example 1: Conversion to RT-SVA and LPN!a[ > 1] ##1 a[ > 1] ##1!b[ 5 : 5] t3 p0 t0 { (a)} p1 t1 {a} p2 tfail0 {b} t2 { b} [5] p3

27 Example 2: Property Language After a goes high, b and c must be true simultaneously within 25ns. property Example2{ boolean a; boolean b; boolean c; always{ waitposedge (a); wait(b&c, 25); } }

28 Example 2: Conversion to RT-SVA and LPN!a[ > 1] ##1 a[ > 1] ##1!(b && c)[ 0 : 25] ##1 (b && c) t3 p0 t0 { (a)} p1 t1 {a} p2 tfail0 { (b&c)} [25] t2 {b&c} p3

29 Example 3: Property Language The delay between the second rising crossing of a at 2.5V and the first falling crossing of b at 4.5V is 250.0ns with a tolerance of 2.5ns. property Example3 { real b; real a; always{ assertuntil(b > 45, a >= 25); assertuntil(b > 45, a < 25); assertuntil(b > 45, a >= 25); assert(b > 45, 2475); wait(b <= 45, 50); } }

30 Example 3: Conversion to RT-SVA (((b > 45) &&!(a 25))[ 0 : $] ##1 (a 25)) ##1 (((b > 45) &&!(a < 25))[ 0 : $] ##1 (a < 25)) ##1 (((b > 45) &&!(a 25))[ 0 : $] ##1 (a 25)) ##1 ((b > 45)[ 2475 : 2475]) ##1 (!(b 45)[ 0 : 50] ##1 (b 45))

31 Example 3: Conversion to LPN p0 tfail0 { (b > 45)& (a 25)} t0 {a 25} t5 p1 p5 t1 {a < 25} tfail1 { (b > 45)& (a < 25)} t4 {b 45} p2 p4 t2 {a 25} tfail2 { (b > 45)& (a 25)} tfail4 { (b 45)} [50] p3 tfail3 { b > 45} t3 {b > 45} [2475]

32 Phase Interpolator Property Using Property Language property PhaseInterpolator { real ctl; real omega; real phi; always{ wait(phi >= 0); if(ctl=1){ assert(!(omega >= 22), 1375); wait(omega >= 22,10); } continued...

33 Phase Interpolator Property Using Property Language } } else if(ctl=2){ assert(!(omega >= 22), 1315); wait(omega >= 22,10); } else if(ctl=3){ assert(!(omega >= 22), 1255); wait(omega >= 22,10); } else { } wait(phi < 0);

34 Phase Interpolator Using Real-Time SVA (phi 0)[ > 1] ##1 ( ((ctl == 1) ##0 (!(omega 22)[ 1375, 1375] ##1!(omega 22)[ 0 : 10] ##1 (omega 22)) ) ( or ((ctl == 2) &&!(ctl == 1)) ##0 (!(omega 22)[ 1315, 1315] ##1!(omega 22)[ 0 : 10] ##1 (omega 22)) ) ( or ((ctl == 3) &&!(ctl == 2) &&!(ctl == 1)) ##0 (!(omega 22)[ 1255, 1255] ##1!(omega 22)[ 0 : 10] ##1 (omega 22)) ) or ) (!(ctl == 3) &&!(ctl == 2) &&!(ctl == 1)) ##1 (phi < 0)[ > 1]

35 Property Language Using Property LPN pstart0 t1 t2 {ctl = 1} t10 { (ctl = 1)& (ctl = 2)&(ctl = 3)} t6 { (ctl = 1)&(ctl = 2)& (ctl = 3)} t14 p1 { (ctl = 1)& (ctl = 2)& (ctl = 3)} p2 p8 p5 t0 tfail0 t3 t11 tfail4 tfail2 t7 {phi 0} { omega < 22} {omega < 22} {omega < 22} { omega < 22} { omega < 22} {omega < 22} [1375] [1255] [1315] p0 p3 p9 p6 t17 tfail1 { (omega 22)} [10] t4 {omega 22} t12 {omega 22} tfail5 { (omega 22)} [10] t8 {omega 22} tfail3 { (omega 22)} [10] p12 p4 p10 p7 t16 {phi < 0} t5 t13 t9 p11 pend0 t15

36 Future Work Prove the equivalence of RT-SVA automata and property LPNs. Determine to what extent LPNs can express RT-SVA. Expand the property language to include more constructs.

37 Acknowledgements Dhanashree Kulkarni Chris J. Myers U. of Utah U. of Utah This work has been supported by the National Science Foundation, the Semiconductor Research Corporation, and Intel Corporation.

IMPROVED MODEL GENERATION AND PROPERTY SPECIFICATION FOR ANALOG/MIXED-SIGNAL CIRCUITS

IMPROVED MODEL GENERATION AND PROPERTY SPECIFICATION FOR ANALOG/MIXED-SIGNAL CIRCUITS IMPROVED MODEL GENERATION AND PROPERTY SPECIFICATION FOR ANALOG/MIXED-SIGNAL CIRCUITS by Dhanashree R. Kulkarni A thesis submitted to the faculty of The University of Utah in partial fulfillment of the

More information

Reachability Analysis Using Octagons

Reachability Analysis Using Octagons Reachabilit Analsis Using Octagons Andrew N. Fisher and Chris J. Mers Department of Electrical and Computer Engineering Universit of Utah FAC 0 Jul 9, 0 Digitall Intensive Analog Circuits Digitall intensive

More information

Ranking Verification Counterexamples: An Invariant guided approach

Ranking Verification Counterexamples: An Invariant guided approach Ranking Verification Counterexamples: An Invariant guided approach Ansuman Banerjee Indian Statistical Institute Joint work with Pallab Dasgupta, Srobona Mitra and Harish Kumar Complex Systems Everywhere

More information

Formal Verification of Mathematical Algorithms

Formal Verification of Mathematical Algorithms Formal Verification of Mathematical Algorithms 1 Formal Verification of Mathematical Algorithms John Harrison Intel Corporation The cost of bugs Formal verification Levels of verification HOL Light Formalizing

More information

A New Verification Method For Embedded Systems

A New Verification Method For Embedded Systems A New Verification Method For Embedded Systems Robert A. Thacker, Chris J. Myers and Kevin Jones University of Utah {thacker,myers,kjones}@vlsigroup.ece.utah.edu Scott R. Little Freescale Semiconductor,

More information

Finite-State Model Checking

Finite-State Model Checking EECS 219C: Computer-Aided Verification Intro. to Model Checking: Models and Properties Sanjit A. Seshia EECS, UC Berkeley Finite-State Model Checking G(p X q) Temporal logic q p FSM Model Checker Yes,

More information

Mark A. Horowitz, Metha Jeeradit, Frances Lau, Sabrina Liao, ByongChan Lim, James Mao Electrical Engineering, Stanford University.

Mark A. Horowitz, Metha Jeeradit, Frances Lau, Sabrina Liao, ByongChan Lim, James Mao Electrical Engineering, Stanford University. Digital Analog Design Mark A. Horowitz, Metha Jeeradit, Frances Lau, Sabrina Liao, ByongChan Lim, James Mao Electrical Engineering, Stanford University Jaeha Kim Seoul National University My Overall Goal:

More information

Models for Efficient Timed Verification

Models for Efficient Timed Verification Models for Efficient Timed Verification François Laroussinie LSV / ENS de Cachan CNRS UMR 8643 Monterey Workshop - Composition of embedded systems Model checking System Properties Formalizing step? ϕ Model

More information

Predicate Abstraction: A Tutorial

Predicate Abstraction: A Tutorial Predicate Abstraction: A Tutorial Predicate Abstraction Daniel Kroening May 28 2012 Outline Introduction Existential Abstraction Predicate Abstraction for Software Counterexample-Guided Abstraction Refinement

More information

Assertions and Measurements for Mixed-Signal Simulation

Assertions and Measurements for Mixed-Signal Simulation Assertions and Measurements for Mixed-Signal Simulation PhD Thesis Thomas Ferrère VERIMAG, University of Grenoble (directeur: Oded Maler) Mentor Graphics Corporation (co-encadrant: Ernst Christen) October

More information

Methods for Software Verification. Andrea Corradini Gian Luigi Ferrari. Second Semester 6 CFU

Methods for Software Verification. Andrea Corradini Gian Luigi Ferrari. Second Semester 6 CFU Methods for Software Verification Andrea Corradini Gian Luigi Ferrari Second Semester 6 CFU. The importance of Software Correctness Increasing integration of ICT in different applications: Embedded systems

More information

Design Verification Overview

Design Verification Overview Design Verification Overview Testing & Verification Dept. of Computer Science & Engg,, IIT Kharagpur Pallab Dasgupta Professor, Dept. of Computer Science & Engg., Professor-in in-charge, AVLSI Design Lab,

More information

Verification of Analog/Mixed-Signal Circuits Using Labeled Hybrid Petri Nets

Verification of Analog/Mixed-Signal Circuits Using Labeled Hybrid Petri Nets Verification of Analog/Mixed-Signal Circuits Using Labeled Hybrid Petri Nets Scott Little University of Utah Salt Lake City, UT 8411, USA little@cs.utah.edu Nicholas Seegmiller University of Utah Salt

More information

Digital Systems. Validation, verification. R. Pacalet January 4, 2018

Digital Systems. Validation, verification. R. Pacalet January 4, 2018 Digital Systems Validation, verification R. Pacalet January 4, 2018 2/98 Simulation Extra design tasks Reference model Simulation environment A simulation cannot be exhaustive Can discover a bug Cannot

More information

Symbolic Model Checking of Analog/Mixed-Signal Circuits

Symbolic Model Checking of Analog/Mixed-Signal Circuits Symbolic Model Checking of Analog/Mixed-Signal Circuits David Walter, Scott Little, Nicholas Seegmiller, Chris J. Myers University of Utah Salt Lake City, UT 84112 {dwalter, little, seegmill, myers}@vlsigroup.ece.utah.edu

More information

Testing System Conformance for Cyber-Physical Systems

Testing System Conformance for Cyber-Physical Systems Testing System Conformance for Cyber-Physical Systems Testing systems by walking the dog Rupak Majumdar Max Planck Institute for Software Systems Joint work with Vinayak Prabhu (MPI-SWS) and Jyo Deshmukh

More information

Introduction. Pedro Cabalar. Department of Computer Science University of Corunna, SPAIN 2013/2014

Introduction. Pedro Cabalar. Department of Computer Science University of Corunna, SPAIN 2013/2014 Introduction Pedro Cabalar Department of Computer Science University of Corunna, SPAIN cabalar@udc.es 2013/2014 P. Cabalar ( Department Introduction of Computer Science University of Corunna, SPAIN2013/2014

More information

Introduction to Model Checking. Debdeep Mukhopadhyay IIT Madras

Introduction to Model Checking. Debdeep Mukhopadhyay IIT Madras Introduction to Model Checking Debdeep Mukhopadhyay IIT Madras How good can you fight bugs? Comprising of three parts Formal Verification techniques consist of three parts: 1. A framework for modeling

More information

Model Checking I. What are LTL and CTL? dack. and. dreq. and. q0bar

Model Checking I. What are LTL and CTL? dack. and. dreq. and. q0bar Model Checking I What are LTL and CTL? q0 or and dack dreq q0bar and 1 View circuit as a transition system (dreq, q0, dack) (dreq, q0, dack ) q0 = dreq and dack = dreq & (q0 + ( q0 & dack)) q0 or and D

More information

Alan Bundy. Automated Reasoning LTL Model Checking

Alan Bundy. Automated Reasoning LTL Model Checking Automated Reasoning LTL Model Checking Alan Bundy Lecture 9, page 1 Introduction So far we have looked at theorem proving Powerful, especially where good sets of rewrite rules or decision procedures have

More information

Analysis of a Boost Converter Circuit Using Linear Hybrid Automata

Analysis of a Boost Converter Circuit Using Linear Hybrid Automata Analysis of a Boost Converter Circuit Using Linear Hybrid Automata Ulrich Kühne LSV ENS de Cachan, 94235 Cachan Cedex, France, kuehne@lsv.ens-cachan.fr 1 Introduction Boost converter circuits are an important

More information

Intro To Digital Logic

Intro To Digital Logic Intro To Digital Logic 1 Announcements... Project 2.2 out But delayed till after the midterm Midterm in a week Covers up to last lecture + next week's homework & lab Nick goes "H-Bomb of Justice" About

More information

EXAMINATION in Hardware Description and Verification

EXAMINATION in Hardware Description and Verification Department of VT09 Computer Science and Engineering TDA956/DIT780 Chalmers and Gothenburg University 2009-05-27 EXAMINATION in Hardware Description and Verification DAY : 2009-05-27 TIME : 14:00-18:00

More information

Verification. Arijit Mondal. Dept. of Computer Science & Engineering Indian Institute of Technology Patna

Verification. Arijit Mondal. Dept. of Computer Science & Engineering Indian Institute of Technology Patna IIT Patna 1 Verification Arijit Mondal Dept. of Computer Science & Engineering Indian Institute of Technology Patna arijit@iitp.ac.in Introduction The goal of verification To ensure 100% correct in functionality

More information

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator Design Verification Simulation used for ) design verification: verify the correctness of the design and 2) test verification. Design verification: Response analysis Specification Design(netlist) Critical

More information

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution

ELEC516 Digital VLSI System Design and Design Automation (spring, 2010) Assignment 4 Reference solution ELEC516 Digital VLSI System Design and Design Automation (spring, 010) Assignment 4 Reference solution 1) Pulse-plate 1T DRAM cell a) Timing diagrams for nodes and Y when writing 0 and 1 Timing diagram

More information

Temporal Logic. Stavros Tripakis University of California, Berkeley. We have designed a system. We want to check that it is correct.

Temporal Logic. Stavros Tripakis University of California, Berkeley. We have designed a system. We want to check that it is correct. EE 244: Fundamental Algorithms for System Modeling, Analysis, and Optimization Fall 2016 Temporal logic Stavros Tripakis University of California, Berkeley Stavros Tripakis (UC Berkeley) EE 244, Fall 2016

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

Timed Automata VINO 2011

Timed Automata VINO 2011 Timed Automata VINO 2011 VeriDis Group - LORIA July 18, 2011 Content 1 Introduction 2 Timed Automata 3 Networks of timed automata Motivation Formalism for modeling and verification of real-time systems.

More information

Stéphane Lafortune. August 2006

Stéphane Lafortune. August 2006 UNIVERSITY OF MICHIGAN DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE LECTURE NOTES FOR EECS 661 CHAPTER 1: INTRODUCTION TO DISCRETE EVENT SYSTEMS Stéphane Lafortune August 2006 References for

More information

Abstractions and Decision Procedures for Effective Software Model Checking

Abstractions and Decision Procedures for Effective Software Model Checking Abstractions and Decision Procedures for Effective Software Model Checking Prof. Natasha Sharygina The University of Lugano, Carnegie Mellon University Microsoft Summer School, Moscow, July 2011 Lecture

More information

Efficient Circuit Analysis

Efficient Circuit Analysis Efficient Circuit Analysis Chris Myers, Nathan Barker, Kevin Jones, Hiroyuki Kuwahara, Scott Little, Curtis Madsen, Nam Nguyen, Robert Thacker, David Walter University of Utah CE Junior Seminar September

More information

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining

EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 1 EE382 Processor Design Winter 1999 Chapter 2 Lectures Clocking and Pipelining Slide 2 Topics Clocking Clock Parameters Latch Types Requirements for reliable clocking Pipelining Optimal pipelining

More information

Model Checking I. What are LTL and CTL? dack. and. dreq. and. q0bar

Model Checking I. What are LTL and CTL? dack. and. dreq. and. q0bar Model Checking I What are LTL and CTL? and dack q0 or D dreq D q0bar and 1 View circuit as a transition system (dreq, q0, dack) (dreq, q0, dack ) q0 = dreq dack = dreq and (q0 or (not q0 and dack)) q0

More information

Lab #15: Introduction to Computer Aided Design

Lab #15: Introduction to Computer Aided Design Lab #15: Introduction to Computer Aided Design Revision: 02 Nov 2016 Print Name: Section: GETTING FAMILIAR WITH YOUR BASYS3 DIGILAB BOARD. Problem 1: (26 points) Visually inspect the Digilab board, enter

More information

Verification of Recursive Programs. Andreas Podelski February 8, 2012

Verification of Recursive Programs. Andreas Podelski February 8, 2012 Verification of Recursive Programs Andreas Podelski February 8, 2012 1 m(x) = x 10 if x > 100 m(m(x + 11)) if x 100 2 procedure m(x) returns (res) `0: if x>100 `1: res:=x-10 else `2: x m := x+11 `3: res

More information

The State Explosion Problem

The State Explosion Problem The State Explosion Problem Martin Kot August 16, 2003 1 Introduction One from main approaches to checking correctness of a concurrent system are state space methods. They are suitable for automatic analysis

More information

Efficient Verification of Multi-Property Designs. The benefit of wrong assumptions (E. Goldberg, M. Güdemann, D. Kroening, R.

Efficient Verification of Multi-Property Designs. The benefit of wrong assumptions (E. Goldberg, M. Güdemann, D. Kroening, R. Efficient Verification of Multi-Property Designs The benefit of wrong assumptions (E. Goldberg, M. Güdemann, D. Kroening, R. Mukherjee) Motivation Main bulk of research: single property verification A

More information

ISSP User Guide CY3207ISSP. Revision C

ISSP User Guide CY3207ISSP. Revision C CY3207ISSP ISSP User Guide Revision C Cypress Semiconductor 198 Champion Court San Jose, CA 95134-1709 Phone (USA): 800.858.1810 Phone (Intnl): 408.943.2600 http://www.cypress.com Copyrights Copyrights

More information

From Greek philosophers to circuits: An introduction to boolean logic. COS 116, Spring 2011 Sanjeev Arora

From Greek philosophers to circuits: An introduction to boolean logic. COS 116, Spring 2011 Sanjeev Arora From Greek philosophers to circuits: An introduction to boolean logic. COS 116, Spring 2011 Sanjeev Arora Midterm One week from today in class Mar 10 Covers lectures, labs, homework, readings to date You

More information

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis

A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis ASP-DAC 2014 A Robustness Optimization of SRAM Dynamic Stability by Sensitivity-based Reachability Analysis Yang Song, Sai Manoj P. D. and Hao Yu School of Electrical and Electronic Engineering, Nanyang

More information

CD4029BM CD4029BC Presettable Binary Decade Up Down Counter

CD4029BM CD4029BC Presettable Binary Decade Up Down Counter CD4029BM CD4029BC Presettable Binary Decade Up Down Counter General Description The CD4029BM CD4029BC is a presettable up down counter which counts in either binary or decade mode depending on the voltage

More information

Software Engineering 2DA4. Slides 8: Multiplexors and More

Software Engineering 2DA4. Slides 8: Multiplexors and More Software Engineering 2DA4 Slides 8: Multiplexors and More Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of Digital Logic

More information

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3)

CMPE12 - Notes chapter 1. Digital Logic. (Textbook Chapter 3) CMPE12 - Notes chapter 1 Digital Logic (Textbook Chapter 3) Transistor: Building Block of Computers Microprocessors contain TONS of transistors Intel Montecito (2005): 1.72 billion Intel Pentium 4 (2000):

More information

Computer Aided Verification

Computer Aided Verification Computer Aided Verification For Designing correct systems Hao Zheng zheng@cse.usf.edu Dept. of Computer Science & Eng. University South Florida Outlines Basic concepts of verification Challenges to verification

More information

2. Associative Law: A binary operator * on a set S is said to be associated whenever (A*B)*C = A*(B*C) for all A,B,C S.

2. Associative Law: A binary operator * on a set S is said to be associated whenever (A*B)*C = A*(B*C) for all A,B,C S. BOOLEAN ALGEBRA 2.1 Introduction Binary logic deals with variables that have two discrete values: 1 for TRUE and 0 for FALSE. A simple switching circuit containing active elements such as a diode and transistor

More information

Self-reproducing programs. And Introduction to logic. COS 116, Spring 2012 Adam Finkelstein

Self-reproducing programs. And Introduction to logic. COS 116, Spring 2012 Adam Finkelstein Self-reproducing programs. And Introduction to logic. COS 6, Spring 22 Adam Finkelstein Midterm One week from today in class Mar 5 Covers lectures, labs, homework, readings to date Old midterms will be

More information

r. Matthias Bretschneider amburg - Dept. Safety Fehleranalyse mit Hilfe von Model Checkern

r. Matthias Bretschneider amburg - Dept. Safety Fehleranalyse mit Hilfe von Model Checkern r. Matthias Bretschneider amburg - Dept. Safety Fehleranalyse mit Hilfe von Model Checkern otivation: Design of safe embedded systems X y Sensor(s) Controller Actuator Design Phase Study the effect of

More information

CORRECTNESS AND REDUCTION IN TIMED CIRCUIT ANALYSIS

CORRECTNESS AND REDUCTION IN TIMED CIRCUIT ANALYSIS CORRECTNESS AND REDUCTION IN TIMED CIRCUIT ANALYSIS by Eric G Mercer A dissertation submitted to the faculty of The University of Utah in partial fulfillment of the requirements for the degree of Doctor

More information

Formal Verification of Systems-on-Chip

Formal Verification of Systems-on-Chip Formal Verification of Systems-on-Chip Wolfgang Kunz Department of Electrical & Computer Engineering University of Kaiserslautern, Germany Slide 1 Industrial Experiences Formal verification of Systems-on-Chip

More information

Digital Logic. CS211 Computer Architecture. l Topics. l Transistors (Design & Types) l Logic Gates. l Combinational Circuits.

Digital Logic. CS211 Computer Architecture. l Topics. l Transistors (Design & Types) l Logic Gates. l Combinational Circuits. CS211 Computer Architecture Digital Logic l Topics l Transistors (Design & Types) l Logic Gates l Combinational Circuits l K-Maps Figures & Tables borrowed from:! http://www.allaboutcircuits.com/vol_4/index.html!

More information

Motivation Framework Proposed theory Summary

Motivation Framework Proposed theory Summary A Compositional Theory for Observational Equivalence Checking of Hardware Presenter : Authors : Daher Kaiss Zurab Khasidashvili Daher Kaiss Doron Bustan Formal Technology and Logic Group Core Cad Technologies

More information

CD4070BM CD4070BC Quad 2-Input EXCLUSIVE-OR Gate CD4077BM CD4077BC Quad 2-Input EXCLUSIVE-NOR Gate

CD4070BM CD4070BC Quad 2-Input EXCLUSIVE-OR Gate CD4077BM CD4077BC Quad 2-Input EXCLUSIVE-NOR Gate CD4070BM CD4070BC Quad 2-Input EXCLUSIVE-OR Gate CD4077BM CD4077BC Quad 2-Input EXCLUSIVE-NOR Gate General Description Employing complementary MOS (CMOS) transistors to achieve wide power supply operating

More information

Generated Clock & master clock LET S MAKE IT SIMPLE. Kunal Ghosh

Generated Clock & master clock LET S MAKE IT SIMPLE. Kunal Ghosh Generated Clock & master clock LET S MAKE IT SIMPLE Kunal Ghosh I get this one occasionally not particularly about the concept, but about the ways we can create a generated clock definition. Too many options

More information

Executing the formal semantics of the Accellera Property Specification Language

Executing the formal semantics of the Accellera Property Specification Language Executing the PSL semantics 1/17 Executing the formal semantics of the Accellera Property Specification Language joint work with Joe Hurd & Konrad Slind Standard practice: generate tools from formal syntax

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Synchronizers, Arbiters, GALS and Metastability

Synchronizers, Arbiters, GALS and Metastability Synchronizers, Arbiters, GALS and Metastability David Kinniment University of Newcastle, UK Based on contributions from: Alex Bystrov, Keith Heron, Nikolaos Minas, Gordon Russell, Alex Yakovlev, and Jun

More information

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002 CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 18-322 DIGITAL INTEGRATED CIRCUITS FALL 2002 Final Examination, Monday Dec. 16, 2002 NAME: SECTION: Time: 180 minutes Closed

More information

MM74C90 MM74C93 4-Bit Decade Counter 4-Bit Binary Counter

MM74C90 MM74C93 4-Bit Decade Counter 4-Bit Binary Counter 4-Bit Decade Counter 4-Bit Binary Counter General Description The MM74C90 decade counter and the MM74C93 binary counter and complementary MOS (CMOS) integrated circuits constructed with N- and P-channel

More information

IMITATOR: A Tool for Synthesizing Constraints on Timing Bounds of Timed Automata

IMITATOR: A Tool for Synthesizing Constraints on Timing Bounds of Timed Automata ICTAC 09 IMITATOR: A Tool for Synthesizing Constraints on Timing Bounds of Timed Automata Étienne ANDRÉ Laboratoire Spécification et Vérification LSV, ENS de Cachan & CNRS Étienne ANDRÉ (LSV) ICTAC 09

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering TIMING ANALYSIS Overview Circuits do not respond instantaneously to input changes

More information

Phase Noise Simulation and. SystemVerilog

Phase Noise Simulation and. SystemVerilog Phase Noise Simulation and Modeling of ADPLL by SystemVerilog Tingjun Wen Integrated Device Technology Tad Kwasniewski Carleton University Sept 2008 1 Motivations Integrate the phase noise behavioral simulation

More information

Crash course Verification of Finite Automata CTL model-checking

Crash course Verification of Finite Automata CTL model-checking Crash course Verification of Finite Automata CTL model-checking Exercise session - 07.12.2016 Xiaoxi He 1 Reminders Big picture Objective Verify properties over DES models Formal method Absolute guarantee!

More information

CSE 140 Spring 2017: Final Solutions (Total 50 Points)

CSE 140 Spring 2017: Final Solutions (Total 50 Points) CSE 140 Spring 2017: Final Solutions (Total 50 Points) 1. (Boolean Algebra) Prove the following Boolean theorem using Boolean laws only, i.e. no theorem is allowed for the proof. State the name of the

More information

Dynamic Semantics. Dynamic Semantics. Operational Semantics Axiomatic Semantics Denotational Semantic. Operational Semantics

Dynamic Semantics. Dynamic Semantics. Operational Semantics Axiomatic Semantics Denotational Semantic. Operational Semantics Dynamic Semantics Operational Semantics Denotational Semantic Dynamic Semantics Operational Semantics Operational Semantics Describe meaning by executing program on machine Machine can be actual or simulated

More information

IC Temperature Sensor Provides Thermocouple Cold-Junction Compensation

IC Temperature Sensor Provides Thermocouple Cold-Junction Compensation IC Temperature Sensor Provides Thermocouple Cold-Junction Compensation Introduction Due to their low cost and ease of use, thermocouples are still a popular means for making temperature measurements up

More information

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies

A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies A Technology-Agnostic MTJ SPICE Model with User-Defined Dimensions for STT-MRAM Scalability Studies Model download website: mtj.umn.edu Jongyeon Kim 1, An Chen 2, Behtash Behin-Aein 2, Saurabh Kumar 1,

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS151/251A V. Stojanovic, J. Wawrzynek Fall 2015 10/13/15 Midterm Exam Name: ID

More information

Chapter 1. Binary Systems 1-1. Outline. ! Introductions. ! Number Base Conversions. ! Binary Arithmetic. ! Binary Codes. ! Binary Elements 1-2

Chapter 1. Binary Systems 1-1. Outline. ! Introductions. ! Number Base Conversions. ! Binary Arithmetic. ! Binary Codes. ! Binary Elements 1-2 Chapter 1 Binary Systems 1-1 Outline! Introductions! Number Base Conversions! Binary Arithmetic! Binary Codes! Binary Elements 1-2 3C Integration 傳輸與介面 IA Connecting 聲音與影像 Consumer Screen Phone Set Top

More information

Analysis for Dynamic of Analog Circuits by using HSPN

Analysis for Dynamic of Analog Circuits by using HSPN Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 207 Analysis for Dynamic of Analog Circuits by using HSPN MENG ZHANG, SHENGBING

More information

CD4013BM CD4013BC Dual D Flip-Flop

CD4013BM CD4013BC Dual D Flip-Flop CD4013BM CD4013BC Dual D Flip-Flop General Description The CD4013B dual D flip-flop is a monolithic complementary MOS (CMOS) integrated circuit constructed with N- and P-channel enhancement mode transistors

More information

Semantic Equivalences and the. Verification of Infinite-State Systems 1 c 2004 Richard Mayr

Semantic Equivalences and the. Verification of Infinite-State Systems 1 c 2004 Richard Mayr Semantic Equivalences and the Verification of Infinite-State Systems Richard Mayr Department of Computer Science Albert-Ludwigs-University Freiburg Germany Verification of Infinite-State Systems 1 c 2004

More information

04. What is the Mod number of the counter circuit shown below? Assume initially reset.

04. What is the Mod number of the counter circuit shown below? Assume initially reset. . Which of the following is the state diagram for the Meale machine shown below. 4. What is the Mod number of the counter circuit shown below? Assume initiall reset. input CLK D output D D a. b. / / /

More information

CD4070BM CD4070BC Quad 2-Input EXCLUSIVE-OR Gate CD4077BM CD4077BC Quad 2-Input EXCLUSIVE-NOR Gate

CD4070BM CD4070BC Quad 2-Input EXCLUSIVE-OR Gate CD4077BM CD4077BC Quad 2-Input EXCLUSIVE-NOR Gate CD4070BM CD4070BC Quad 2-Input EXCLUSIVE-OR Gate CD4077BM CD4077BC Quad 2-Input EXCLUSIVE-NOR Gate General Description Employing complementary MOS (CMOS) transistors to achieve wide power supply operating

More information

Overview. Discrete Event Systems Verification of Finite Automata. What can finite automata be used for? What can finite automata be used for?

Overview. Discrete Event Systems Verification of Finite Automata. What can finite automata be used for? What can finite automata be used for? Computer Engineering and Networks Overview Discrete Event Systems Verification of Finite Automata Lothar Thiele Introduction Binary Decision Diagrams Representation of Boolean Functions Comparing two circuits

More information

Automata, Logic and Games: Theory and Application

Automata, Logic and Games: Theory and Application Automata, Logic and Games: Theory and Application 1. Büchi Automata and S1S Luke Ong University of Oxford TACL Summer School University of Salerno, 14-19 June 2015 Luke Ong Büchi Automata & S1S 14-19 June

More information

Features. Y Wide supply voltage range 3V to 15V. Y High noise immunity 0 45 VDD (typ ) Y Low power TTL compatibility Fan out of 2

Features. Y Wide supply voltage range 3V to 15V. Y High noise immunity 0 45 VDD (typ ) Y Low power TTL compatibility Fan out of 2 CD40192BM CD40192BC Synchronous 4-Bit Up Down Decade Counter CD40193BM CD40193BC Synchronous 4-Bit Up Down Binary Counter General Description These up down counters are monolithic complementary MOS (CMOS)

More information

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process

Parity Checker Example. EECS150 - Digital Design Lecture 9 - Finite State Machines 1. Formal Design Process. Formal Design Process Parity Checker Example A string of bits has even parity if the number of 1 s in the string is even. Design a circuit that accepts a bit-serial stream of bits and outputs a 0 if the parity thus far is even

More information

Performance Analysis of ARQ Protocols using a Theorem Prover

Performance Analysis of ARQ Protocols using a Theorem Prover Performance Analysis of ARQ Protocols using a Theorem Prover Osman Hasan Sofiene Tahar Hardware Verification Group Concordia University Montreal, Canada ISPASS 2008 Objectives n Probabilistic Theorem Proving

More information

A Hierarchy for Accellera s Property Specification Language

A Hierarchy for Accellera s Property Specification Language A Hierarchy for Accellera s Property Specification Language Thomas Türk May 1st, 2005 Diploma Thesis University of Kaiserslautern Supervisor: Prof. Dr. Klaus Schneider Vorliegende Diplomarbeit wurde von

More information

The research thesis was done under the supervision of Prof. Orna Grumberg, in the Faculty of Computer Science, the Technion - Israel Institute of Tech

The research thesis was done under the supervision of Prof. Orna Grumberg, in the Faculty of Computer Science, the Technion - Israel Institute of Tech Techniques for increasing Coverage of Formal Verification Research Thesis Submitted in partial fulfillment of the requirements for the degree of Master of Science in Computer Science Sagi Katz Submitted

More information

Software Verification with Abstraction-Based Methods

Software Verification with Abstraction-Based Methods Software Verification with Abstraction-Based Methods Ákos Hajdu PhD student Department of Measurement and Information Systems, Budapest University of Technology and Economics MTA-BME Lendület Cyber-Physical

More information

Skew-Tolerant Circuit Design

Skew-Tolerant Circuit Design Skew-Tolerant Circuit Design David Harris David_Harris@hmc.edu December, 2000 Harvey Mudd College Claremont, CA Outline Introduction Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant Domino

More information

14.1. Unit 14. State Machine Design

14.1. Unit 14. State Machine Design 4. Unit 4 State Machine Design 4.2 Outcomes I can create a state diagram to solve a sequential problem I can implement a working state machine given a state diagram STATE MACHINES OVERVIEW 4.3 4.4 Review

More information

IC3 and Beyond: Incremental, Inductive Verification

IC3 and Beyond: Incremental, Inductive Verification IC3 and Beyond: Incremental, Inductive Verification Aaron R. Bradley ECEE, CU Boulder & Summit Middle School IC3 and Beyond: Incremental, Inductive Verification 1/62 Induction Foundation of verification

More information

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1 Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects

More information

MODELING HYBRID SYSTEMS

MODELING HYBRID SYSTEMS MODELING HYBRID SYSTEMS MICHAEL GELFOND SANDEEP CHINTABATHINA TAG MEETING AUG 21-22, 2003 1 Talk Outline Introduction to hybrid systems and processes. Defining Processes in action language H. Translation

More information

Binary Decision Diagrams and Symbolic Model Checking

Binary Decision Diagrams and Symbolic Model Checking Binary Decision Diagrams and Symbolic Model Checking Randy Bryant Ed Clarke Ken McMillan Allen Emerson CMU CMU Cadence U Texas http://www.cs.cmu.edu/~bryant Binary Decision Diagrams Restricted Form of

More information

DDR4 Board Design and Signal Integrity Verification Challenges

DDR4 Board Design and Signal Integrity Verification Challenges DDR4 Board Design and Signal Integrity Verification Challenges Outline Enabling DDR4 Pseudo Open Drain Driver - Benefit POD SI effects VrefDQ Calculation Data Eye Simulating SSN New Drive Standards Difference

More information

Some lesser-known contributions of Paul Caspi

Some lesser-known contributions of Paul Caspi Some lesser-known contributions of Paul Caspi Jacques pulou With some help from E. Closse and D. Weil France Telecom R&D MAPS/AMS/SUME research & development Paul 's Doctorate Thesis : identifying unstable

More information

CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset

CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset CD4027BM CD4027BC Dual J-K Master Slave Flip-Flop with Set and Reset General Description These dual J-K flip-flops are monolithic complementary MOS (CMOS) integrated circuits constructed with N- and P-

More information

MACHINE COMPUTING. the limitations

MACHINE COMPUTING. the limitations MACHINE COMPUTING the limitations human computing stealing brain cycles of the masses word recognition: to digitize all printed writing language education: to translate web content games with a purpose

More information

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1>

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1> Chapter 3 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 3 Chapter 3 :: Topics Introduction Latches and Flip-Flops Synchronous Logic Design Finite

More information

Digital Systems Overview. Unit 1 Numbering Systems. Why Digital Systems? Levels of Design Abstraction. Dissecting Decimal Numbers

Digital Systems Overview. Unit 1 Numbering Systems. Why Digital Systems? Levels of Design Abstraction. Dissecting Decimal Numbers Unit Numbering Systems Fundamentals of Logic Design EE2369 Prof. Eric MacDonald Fall Semester 2003 Digital Systems Overview Digital Systems are Home PC XBOX or Playstation2 Cell phone Network router Data

More information

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS Unit : I - V Unit : I Overview Fundamentals of Computers Characteristics of Computers Computer Language Operating Systems Generation of Computers 2 Definition of

More information

CSE370: Introduction to Digital Design

CSE370: Introduction to Digital Design CSE370: Introduction to Digital Design Course staff Gaetano Borriello, Brian DeRenzi, Firat Kiyak Course web www.cs.washington.edu/370/ Make sure to subscribe to class mailing list (cse370@cs) Course text

More information

Features. Y Wide supply voltage range 3 0V to 15V. Y High noise immunity 0 45 VDD (typ ) Y Low power TTL fan out of 2 driving 74L

Features. Y Wide supply voltage range 3 0V to 15V. Y High noise immunity 0 45 VDD (typ ) Y Low power TTL fan out of 2 driving 74L CD40160BM CD40160BC Decade Counter with Asynchronous Clear CD40161BM CD40161BC Binary Counter with Asynchronous Clear CD40162BM CD40162BC Decade Counter with Synchronous Clear CD40163BM CD40163BC Binary

More information

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance

For smaller NRE cost For faster time to market For smaller high-volume manufacturing cost For higher performance University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS5 J. Wawrzynek Spring 22 2/22/2. [2 pts] Short Answers. Midterm Exam I a) [2 pts]

More information

Tradeoff between Reliability and Power Management

Tradeoff between Reliability and Power Management Tradeoff between Reliability and Power Management 9/1/2005 FORGE Lee, Kyoungwoo Contents 1. Overview of relationship between reliability and power management 2. Dakai Zhu, Rami Melhem and Daniel Moss e,

More information

A Quantum Computing Approach to the Verification and Validation of Complex Cyber-Physical Systems

A Quantum Computing Approach to the Verification and Validation of Complex Cyber-Physical Systems A Quantum Computing Approach to the Verification and Validation of Complex Cyber-Physical Systems Achieving Quality and Cost Control in the Development of Enormous Systems Safe and Secure Systems and Software

More information