Dynamics of ion-assisted etching

Size: px
Start display at page:

Download "Dynamics of ion-assisted etching"

Transcription

1 Dynamics of ion-assisted etching Sebel, P.G.M. DOI: /IR Published: 01/01/1999 Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check the document version of this publication: A submitted manuscript is the author's version of the article upon submission and before peer-review. There can be important differences between the submitted version and the official published version of record. People interested in the research are advised to contact the author for the final version of the publication, or visit the DOI to the publisher's website. The final author version and the galley proof are versions of the publication after peer review. The final published version features the final layout of the paper including the volume, issue and page numbers. Link to publication General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. Users may download and print one copy of any publication from the public portal for the purpose of private study or research. You may not further distribute the material or use it for any profit-making activity or commercial gain You may freely distribute the URL identifying the publication in the public portal? Take down policy If you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediately and investigate your claim. Download date: 24. Aug. 2018

2 Dynamics of Ion-assisted Etching PROEFSCHRIFT ter verkrijging van de graad van doctor aan de Technische Universiteit Eindhoven, op gezag van de Rector Magnificus, prof.dr. M. Rem, voor een commissie aangewezen door het College voor Promoties in het openbaar te verdedigen op maandag 13 december 1999 om uur door Petrus Gerardus Maria Sebel geboren te Nootdorp

3 Dit proefschrift is goedgekeurd door de promotoren: prof.dr. H.C.W. Beijerinck en prof.dr. L.J.F. Hermans Druk: UniversiteitsDrukkerij, Technische Universiteit Eindhoven. CIP-DATA LIBRARY TECHNISCHE UNIVERSITEIT EINDHOVEN Sebel, Petrus Gerardus Maria Dynamics of ion-assisted etching / by Petrus Gerardus Maria Sebel. - Eindhoven: Eindhoven University of Technology, Thesis. - With ref. - With summary in Dutch. ISBN NUGI 812 Trefw.: plasma-etsen, silicium, fluor, molecuulbundels, sputteren, reactiemechanismen, ellipsometrie. Subject headings: plasma etching, silicon, fluorine, molecular beams, ion-surface impact, reaction kinetics, ellipsometry.

4 Science, like nature, Must also be tamed With a view towards its preservation. Given the same State of integrity, It will surely serve us well. NP

5

6 Contents 1 Introduction 1 1 Project motivation Experimental setup Scope of this thesis Status of chapters Etching of silicon 9 1 Introduction Spontaneous etching Ion-assisted etching Open questions Silicon etch rate enhancement by traces of metal 15 1 Introduction Experimental setup Apparatus Sources of contamination Experimental results Etch behavior Measurements of the ion beam shape Surface analysis Discussion Mechanism of enhancement by contamination Model Decrease in etch rate by contamination Difference between W and Ni contamination Mechanism of enhancement by metal contamination Review of earlier work Conclusions Reaction layer dynamics in ion-assisted Si/XeF 2 -etching: ion flux dependence 33 1 Introduction Experimental setup Reaction layer depletion by ions Ion switch-on Ion switch-off Model description of reaction layer depletion Chain model Kinetic model Dynamics of reaction layer depletion i

7 ii Contents 5 Mechanisms for SiF 4 enhancement: experiments Long pulses Short pulses Processes from pulse measurements Mechanisms of SiF 4 enhancement: discussion How does ion bombardment cause XeF 2 to have an increased sticking probability? Conclusions Reaction layer dynamics in ion-assisted Si/XeF 2 -etching: temperature dependence 57 1 Introduction Experimental setup Long pulse measurements Steady-state values Transient response Spontaneous SiF 4 formation Discussion of transient response Comparison to TDS measurements of spontaneous etching Temperature-independent reaction layer Model for reaction probability Steady-state reaction probability Precursor concentration Influence of ion flux Reaction probability: experiments versus model Dangling bond concentration SiF 4 production Short-pulse measurements Temperature dependence of switch-off behavior Temperature dependence of switch-on behavior Conclusions from short pulses Conclusions Etching of Si through a thick condensed XeF 2 layer 77 1 Introduction Experimental Multiple-beam setup Structure of XeF Process coefficients Typical results Removal of condensed XeF 2 layer (stage 2) Experimental results Discussion Etching of Si (stage 3) Experimental result

8 Contents iii 4.2 Discussion Growth of condensed XeF 2 layer (stage 4) Experimental results Discussion Concluding remarks Comparison with a CF x layer Conclusions on XeF 2 layers Ellipsometry in beam etching 93 1 Introduction Experimental setup Ellipsometry measurements and modeling Surface damage by ion bombardment Experimental results Discussion Spontaneous etching of silicon Experimental results Discussion Conclusions Future research 105 Summary 107 Samenvatting 109 Dankwoord, C.V. 111 List of publications 112

9

10 1 Introduction 1 Project motivation One cannot imagine our society without integrated circuits (I.C. s). In addition to being vital components of computers, these semiconductor devices can be found in all kinds of consumer electronics and production lines. The impact of I.C. s on everyday life is clearly shown by the problems that threaten society on January 1, 2000 because of the millennium bug in the (embedded) software of I.C. s. The semiconductor industry is still growing and new fields based on production techniques similar to those as I.C. s emerge. An example is the production of micro-electro-mechanical systems (MEMS). These devices include mechanical parts like cantilevers and gears. The last two years, MEMS have also been Figure 1: A gear chain as an example of micro-electro-mechanical systems (MEMS). The left-hand figure shows the chain with a mite approaching. The right-hand figure is a magnification of the gear train. The middle top gear is the drive. With these devises rotation speeds of 250,000 rpm have been reached. (Courtesy of Sandia National Laboratories Intelligent Micromachine Initiative;

11 2 Chapter 1 photoresist n-type Si i SiO 2 ii aluminum iii drain gate source p-type Si iv v vi Figure 2: Basic steps for the fabrication of a FET. In step i the desired pattern is exposed on the resist layer. In step ii the pattern is transferred into the underlying layer (in this case SiO 2 ) by etching after which the residual photoresist is removed (step iii). The last basic step is the doping of material or the (step iv) deposition of new material, in this case aluminum (step v). Between step iv and v some production steps are left out. Step vi shows the final device after the desired pattern is transferred into the deposited aluminum. integrated with traditional electric I.C. components. The most widely used examples of MEMS are the accelerometers in airbag systems of cars. Another example is shown in Fig. 1. The production process of I.C. s and MEMS generally consists of three main steps, which are repeated several times (5-20) before a complete device is finished. These steps are shown in Fig. 2 for the case of a field effect transistor (FET). The first process is the lithographic exposure with the desired pattern of a photoresist layer after which the exposed (or non-exposed) area is removed by chemicals (step i). The next step is the transfer of the resist pattern into the underlying layer by means of etching (step ii). Here, surface atoms on the spots not covered by the photoresist are removed by means of a chemical reaction. After the residual photoresist has been removed (step iii), the underlying Si is doped (step iv). After this, SiO 2 is deposited and the previous steps are repeated. Finally, aluminum is deposited on top of the surface (step v). After the aluminum has been patterned and etched, a complete I.C. has been made (step vi). This thesis is about the etching process (step ii). In industry, this process is usually carried out in plasma reactors 1. In the plasma, the gas used (e.g. CF 4,CHF 3 SF 6,Cl 2 with possible additives like H 2,N 2 or O 2 ) is dissociated to produce the reactants for the etching reactions. The plasma thus consists of ions, electrons, radicals, neutrals and even negative ions (see Fig. 3 for a CF 4 plasma). The gases used in the plasma always contain halogen atoms like F, Cl or Br for their potential to etch silicon-based semiconductor materials. Most commonly used reactor types for etching are capacitively coupled RFdiode sources, but nowadays inductively coupled sources are taking over (Fig. 3). These new sources have the advantage that the energy of the ions in the plasma can be controlled

12 1 Project motivation 3 RF Matching network gas (e.g. CF 4 ) + F + CF 3 CF 2 CF + + pump Matching network RF bias voltage Figure 3: An inductively coupled plasma source with the possibility to independently control the ion energy incident on the wafer, as used to fabricate modern integrated circuits. independently of other plasma characteristics by using an additional bias on the wafer. These new plasma sources offer more possibilities to turn the knobs and find the optimal settings for each etching application. However, despite these improved possibilities to tune the plasma to the desired production conditions, fundamental knowledge becomes more and more important as I.C. production reaches its fundamental limits. Over the last decades, the performance of I.C. s has been doubled about every 18 months. This is known as Moore s law 2. According to this law, predictions show that the feature size will decrease from 0.15 µm nowadays to less than 50 nm around 2010 (Fig. 4). As a consequence, the number transistors will increase to 10 9 per chip. Present-day problems in etching trenches, such as aspect-ratio dependent etching (ARDE or RIE-lag), micro-trenching and notching, will limit the performance of future I.C. s and thus have to be solved. This cannot be done without fundamental studies, both experimental as theoretical of the underlying mechanisms behind these phenomena. To study the etching process more fundamentally, it was decided to isolated the surface reactions. A plasma by itself is already difficult to understand fundamentally, let alone a situation where one has to include the interaction of the plasma with the surface, which results in etching. Thus the relevant ingredients of the plasma (neutrals, ions and electrons) are simulated in a multiple-beam setup in which the separate beams are independently controllable. Coburn and Winters were the first to do so 4, and many studies followed 5. However, most experiments have only shown qualitative results. A more quantitative approach would certainly be desirable. This thesis is about the research done on such

13 4 Chapter 1 Isolated lines ( m) Pentium Pro Pentium year Number of transistors per Intel chip Figure 4: Evolution of feature size and number of transistors per chip in integrated circuits. The marks indicate the number of transistors for the chips of Intel 3.The observed trends indicate a doubling in the number of transistors per chip and a decrease in line width by a factor of two every 18 months. This behavior is known as Moore s law. a multiple-beam setup, called SCEPTER (Surface Chemistry Experiment for a Physical Theory of Etch Reactions). This setup is designed to produce quantitative results 6, 7.The molecule XeF 2 is used as a convenient source of halogen-containing neutrals. To simulate the ions coming from the plasma, Ar + ions are used whose energy can be varied in order to discriminate between chemistry and energy effects. In the next section, first the experimental setup is described in detail after which the layout of this thesis is elaborated. 2 Experimental setup Here an abridged description of the experimental setup is presented. A fairly complete description of the setup has already been given by Vugts et al. 8. A short overview will be given in each chapter which focuses on the parts of the setup, which are important for the experiments described in that chapter. The setup consists of two vessels connected by a pair of flow resistances and a differential pumping stage (Fig. 5). The sample chamber has a semi-spherical flange, in the center of which the sample is positioned. This flange has six ports that are used to direct the possible beams of neutrals, ions, electrons and photons towards the surface of the Si sample (Fig. 6). This chamber is pumped by a serial configuration of two turbomolecular pumps of 500 ls 1 and 50 ls 1, respectively. The sample is mounted on a sample holder by a nickel retainer plate which serves for calibration. The sample holder can be heated by a coaxial heating wire and is connected to a liquid nitrogen vessel by a copper braided wire for cooling the sample below room temperature. The temperature is measured by

14 2 Experimental setup 5 5 sample chamber detector chamber mm Figure 5: Horizontal cross section of the multiple-beam setup. 1) sample, 2) central semi-spherical flange, 3) differential pumping stages, 4) flow resistance, 5) sample holder with liquid nitrogen vessel, 6) ionizer, 7) ion optics and quadrupole mass filter, 8) ion gun. The electron gun and XeF 2 supply are not shown (out of horizontal plane). XeF 2(52,135) photons (45,90) sample electrons (52,45) Ar + ions (45,180) CDA =0 product analysis to QMS (, )= (0,0) Figure 6: Schematic picture of the different beams representing the plasma ingredients (neutrals, ions, electrons and photons) in SCEPTER. The polar angles (θ, φ) of each beam are indicated.

15 6 Chapter 1 a coaxial thermocouple (alumel/chromel) 1 mm behind the sample. Furthermore, the sample holder is electrically isolated from the rest of the setup by a piece of ceramic in order to be able to measure the ion current by an electrometer connected to the sample. The XeF 2 gas is supplied to the surface by a multichannel array which produces a strongly peaked flow on the sample. The XeF 2 (at room temperature a white crystal) is contained in a small temperature controlled vessel connected to the multichannel array by a capillary (0.17 mm diameter and 10 mm length) that serves as a flow resistance. The XeF 2 flow is controlled by adjusting the temperature of the XeF 2 vessel with a Peltier element. Because of the strong temperature dependency of the vapor pressure of the XeF 2 -crystal, the XeF 2 flow on the Si surface can be set in the range from 0.06 ML/s to 3.6 ML/s. The ions are produced in a Kratos WG 537 Macrobeam ion gun. The Ar gas is injected into the ion gun with a needle valve. The ion gun is differentially pumped by a turbomolecular pump (150 ls 1 ). The ion energy can be varied from 0.5 to 2.5 kev and the maximum ion current is 10 µa, corresponding to a flux of 0.1 ML/s on the Si sample. The mass spectrometer is placed in the detector chamber which is pumped by a 50 ls 1 ion-getter pump. The flow resistances, which are differentially pumped by a 25 ls 1 iongetter pump, act as an angular selector which ensure that 85% of the mass spectrometer signal consist of species which directly leave the sample surface and reach the ionizer without wall collisions. The central detection area (CDE) of the sample as seen by the mass spectrometer has a diameter of 3 mm. In the ionizer the reaction products are ionized by a 70 ev electron beam. The resulting ions are extracted and injected in a Balzers quadrupole mass spectrometer. The mass selected ions are then detected by an electron multiplier. 3 Scope of this thesis The reaction layer plays a crucial role in the etching of silicon as described in the previous section. During spontaneous etching, a thick reaction layer is formed on the surface with a high fluorine content. This reaction layer was the main subject in the thesis of Vugts 7. This thesis is the follow-up of Vugts thesis and is about the reaction layer dynamics during ion-assisted etching. Each chapter treats a complete subject and may be read independently. Since the work presented in this thesis relies heavily upon previous work done on SCEPTER, the state of the art at the beginning of this thesis work will be summarized in chapter 2. It will serve as an introduction on the etching of Si in a multiple-beam setup and it will list open questions still to be answered. In chapter 3, the extreme sensitivity of the etching process to small concentrations of metal contamination is reported. Chapter 4 treats the dynamics of the reaction layer under ion bombardment on the time scale of s at room temperature. To describe the experimental results, previously developed models are used. In chapter 5, the dynamics of the reaction layer under ion bombardment are studied as a function of the sample temperature in the range of K. Below 150 K the XeF 2 condenses on the surface and forms a thick layer that blocks the etching. The ion-induced dynamics of this specific

16 4 Status of chapters 7 reaction layer of condensed XeF 2 is studied in chapter 6. So far, the reaction layer has exclusively been studied by mass spectrometry, which is an indirect method. A technique to directly measure the characteristics of the reaction layer is ellipsometry. In chapter 7 this technique is introduced and the assembly on SCEPTER together with a sample exchange mechanism is described. Also the first ellipsometric results will be presented. Finally, in chapter 8, some remarks will be made about possible future research. 4 Status of chapters Chapters 3 to 6, which form the main part of this thesis, have all been submitted for publication in the Journal of Vacuum Science and Technology. Because of this, some overlap between the chapters was inevitable. In order that only one version of each chapter is in circulation, it was chosen to print the chapters in this thesis in the same form as published. Notes added at a later stage after submission or publication of the paper, are included as footnotes. The status of each chapter is: Chapter 3: published in J. Vac. Sci. Technol. A 17, 755 (1999). Chapter 4: accepted for publication in J. Vac. Sci. Technol. A 17, Nov./Dec. issue (1999). Chapter 5: submitted to J. Vac. Sci. Technol. A for publication. Chapter 6: in revision after acceptation for publication in J. Vac. Sci. Technol. A. References [1] M. A. Lieberman and A. J. Lichtenberg, Principles of plasma discharges and materials processing (J. Wiley & Sons, New York, 1994). [2] G. E. Moore, Intel Corp., Mountain View CA, Trends in Silicon Device Technology (1968). [3] Data from [4] H. F. Winters and J. W. Coburn, Appl. Phys. Lett., 34, 70 (1979). [5] H. F. Winters and J.W. Coburn, Surf. Sci. Rep. 14, 161 (1992). [6] H. A. J. Senhorst, Ph.D. Thesis, Eindhoven University of Technology, [7] M. J. M. Vugts, Ph.D. Thesis, Eindhoven University of Technology, [8] M.J.M.Vugts,G.J.P.Joosten,A.vanOosterum,H.A.J.Senhorst,andH.C.W.Beijerinck, J. Vac. Sci. Technol. A 12, 2999 (1994).

17 8

18 2 Etching of silicon 1 Introduction In general, three steps must be accomplished before etching can take place: (1) reactant adsorption, (2) chemical reaction to produce reaction products, and (3) desorption of reaction products. In this section a short summary of these steps is given for the case that Si is etched by XeF 2 and Ar + ions, as measured by Vugts 1. First the theory of spontaneous etching (i.e. etching without ions) will be presented, followed by the theory of ion-assisted etching. After this review of the present knowledge about Si etching, some questions will be raised about (ion-assisted) Si-etching which still need to be answered to provide a full understanding of Si etching. To describe the etching process quantitatively, we introduce the reaction probability ɛ of XeF 2, defined by: ɛ = Φ s(xef 2 ) Φ(XeF 2 ). (1) Φ s (XeF 2 ) Here, Φ s (XeF 2 ) is the incoming XeF 2 flux on the sample and Φ(XeF 2 )thexef 2 flux that does not react with the silicon and leaves the surface again. The formation of reaction products SiF x is expressed by the product formation probability δ x : δ x = x Φ(SiF x) 2Φ s (XeF 2 ). (2) Here, Φ(SiF x ) is the flux of SiF x reaction products desorbing from the surface. 2 Spontaneous etching In the absence of ions, XeF 2 reacts spontaneously with Si with a reaction probability of ɛ 0.2 at room temperature. In the case of spontaneous etching at room temperature SiF 4 is the only reaction product: δ 4 = ɛ =0.2. Before the XeF 2 reacts with the Si it first physisorbs in a precursor state. Since the etch rate is proportional to the XeF 2 flux over a wide flux range, the precursor layer must be low at room temperature under our experimental conditions. As a function of temperature, the etch rate is determined by the desorption of the reactant XeF 2 from the precursor state with a desorption energy of E d =32±4meV.Thus,

19 10 Chapter XeF 2 condensation temperature (K) Figure 1: Production coefficients δ 4 and δ 2 for SiF 4 and SiF 2 formation, respectively, and the reaction probability ɛ for spontaneous etching as a function of temperature T. the precursor concentration and the reaction probability ɛ increase when the temperature is lowered (Fig. 1). At 150 K the reaction probability ɛ = 1. Below this temperature condensation of XeF 2 on the surface blocks the etching process. In the temperature range T = K, SiF 4 is the only reaction product. Above 600 K, also SiF 2 with a desorption energy E 2 = 260 ± 30 mev becomes a significant reaction product. This results in an increase of the total reaction probability ɛ for T>600 K (Fig. 1). The temperature dependence of the formation probabilities δ 4 and δ 2 for SiF 4 and SiF 2, respectively, during spontaneous etching can now be described by the following Arrhenius equations δ 4 = δ 4,0 e E d/k B T, (3) δ 2 = δ 2,0 e E 2/k B T, (4) ɛ = δ 4 + δ 2. (5) Summarized, spontaneous etching can be described by the following global reaction scheme: XeF 2 (g) XeF 2 (p), (6) XeF 2 (p) + Si(s) Xe(g) + SiF 2 (s), (7) XeF 2 (p) + SiF 2 (s) Xe(g) + SiF 4 (g), (8) SiF 2 (s) SiF 2 (g). (9) The first step describes the physisorption of XeF 2 in a precursor state. Because of thermal desorption, physisorbed XeF 2 can also leave the precursor state again as XeF 2 gas. Step 2

20 3 Ion-assisted etching 11 monolayer SiF p : silicon fluorine substrate multilayer Si x F q: substrate Figure 2: Schematic representation of the formation of the reaction layer. For a low XeF 2 dose (< 10 2 ML), a monolayer coverage with SiF α species is formed which gradually changes into a complex structure with Si y F β chains. describes the formation of a fluorinated surface, modeled with SiF 2 surface species. Step 3 describes the etching reaction of the formation of volatile SiF 4 which leaves the surface spontaneously. Finally, step 4 describes the desorption of SiF 2 surface species, which only becomes important above 600 K. In the global reaction scheme for spontaneous etching, it is assumed that the reaction layer consists of SiF 2 species. However, in reality the reaction layer is far more complicated. When starting on a clean Si surface, it takes over a XeF 2 dose D = t Φ s (XeF 2 )= 10 4 ML to reach a steady-state reaction layer at room temperature. When the XeF 2 flux is turned on, first a monolayer coverage (Fig. 2) is formed consisting of SiF α (0 <α<4) surface species. After about 10 2 ML XeF 2 this monolayer coverage gradually changes into a multilayer coverage with complex Si y F β chains (Fig. 2). In this case the fluorine content of the reaction layer can be as high as 40 ML. These chains lead to the formation of SiF 4 [Eq. (8)]. Both at temperatures below and above room temperature the fluorine content of the multilayer coverage decreases and the reaction layer becomes more like a monolayer coverage. 3 Ion-assisted etching In the presence of ions the reaction probability ɛ increases from 0.2 to 0.83 at room temperature for high ion-to-neutral flux ratios (Fig. 3). In addition to an increased SiF 4 production, SiF 2 is also released from the surface by the ion bombardment. The SiF 4 and

21 12 Chapter reaction coefficient MLs MLs} -1 XeF 0.3MLs ML s -1 Ar flux ratio R= s (Ar + )/ s(xef 2 ) Figure 3: Reaction probability ɛ as a function of the ion-to-neutral flux ratio R in the case of ion-assisted etching. SiF 2 productions account for 90% of the reaction products released from the surface. In Fig. 3 the reaction probability ɛ of the XeF 2 is shown as a function of the ion to neutral flux ratio R for various XeF 2 fluxes. The enhancement by ions is only dependent on this Ar + /XeF 2 -flux ratio. For a flux ratio of R =0.1 a saturation is reached and the reaction product formation is limited by the impinging XeF 2 flux. The enhanced release of SiF 4 during ion-assisted etching is generally explained by chemical sputtering, defined as the production of weakly bound species by ion bombardment, followed by desorption. The release of SiF 2 is explained by physical sputtering, defined as the direct release of surface species upon ion impact. Chemical sputtering accounts for about 40% of the reaction product formation and physical sputtering for about 60% in the limit of high R. A global reaction scheme in the case of ion-assisted etching looks like: XeF 2 (g) XeF 2 (p), (10) XeF 2 (p) + Si(s) Xe(g) + SiF 2 (s), (11) XeF 2 (p) + SiF 2 (s) Xe(g) + SiF 4 (g), (12) SiF 2 (s) SiF 2 (g), (13) SiF 2 (s) Ar + SiF 4 (g), (14) SiF 2 (s) Ar + SiF 2 (g). (15) Here, the first 4 steps are the same as in the case of spontaneous etching. Due to a thinner reaction layer during ion bombardment, the spontaneous SiF 4 formation [Eq. (12)] decreases. The total SiF 4 production increases again due to step 5 which describes the ioninduced formation of SiF 4 from SiF 2 surface species (chemical sputtering). A more detailed reaction pathway is not known. Finally, step 6 describes the ion-induced desorption of SiF 2 surface species (physical sputtering).

22 4 Open questions ,ion - 4,spontaneous 0.4 ion - spontaneous 0.2, temperature (K) Figure 4: Enhancement of the reaction probability ɛ and the SiF 4 formation probability δ 4 as a function of temperature for an ion-to-neutral flux ratio R = The vertical dashed line indicates the condensation point of XeF 2 at T = 150 K. At T = 250 K a maximum enhancement is observed. The enhancement of ɛ and δ 4 as a function of temperature is shown in Fig. 4. These measurements were done at R = A maximum enhancement in both ɛ and δ 4 is observed around T = 250 K. At T < 200 K the SiF 4 production decreases by ion bombardment, i.e. δ 4 < 0. This negative enhancement is explained by a decrease in the precursor concentration. Below T = 150 K, spontaneous etching ceases due to XeF 2 condensation, but in the presence of ion bombardment, the etching continues due to sputtering. A simple description of the reaction products in terms of SiF 4 and SiF 2 is no longer possible as a function of temperature. The distribution (with important contributions of SiF 3 and SiF) is more complex and also temperature dependent. 4 Open questions In the case of spontaneous etching, we have a clear picture. However, in the case of ionassisted etching, despite numerous studies many questions remain about the specific role of ions and the structure of the reaction layer 2, 3. First, the term chemical sputtering is frequently used as a common denominator to explain the enhanced SiF 4 production by ion bombardment. However, this terms leaves many reaction pathways open which lead to the formation of SiF 4. A second question in ion-assisted etching is how ion bombardment increases the reaction probability. The enhanced product formation and release during ion bombardment is known, but the necessarily larger sticking probability of fluorine is not yet understood. Only a qualitative description can be found in literature 4,which explains the higher reaction probability by a thinner reaction layer.

23 14 Chapter 2 The key to the answer to these questions may be found in the structure of the reaction layer. In the case of ion-assisted etching only papers have been published about ex situ characterization of the reaction layer during the ion bombardment 5 7. This still does not give a complete picture, because the reaction layer might be changed during the transport of the sample. Although it has been proposed that the reaction layer becomes thinner during ion bombardment 6 8, a detailed description of the reaction layer during ion-assisted etching has not been given, let alone as a function of temperature. Even when all of the above questions are answered, still a gap remains between the etching in a molecular beam setup and etching in a plasma reactor. For example, studies of etching in a plasma reactor show that the reaction layer thickness increases with ion bombardment, opposite to the observations in beam setups 9. Only when a link between both worlds has been established, the microscopic knowledge from beam etching can be used to understand the macroscopic features observed in plasma etching. References [1] M. J. M. Vugts, Ph.D. Thesis, Eindhoven University of Technology (1995). [2] H. F. Winters and J. W. Coburn, Surf. Sci. Rep. 14, 161 (1992). [3] J. W. Coburn, J. Vac. Sci. Technol. A 12, 1417 (1994). [4] Y. Tu, T. J. Chuang, and H. F. Winters, Phys. Rev. B 23, 823 (1981). [5] D. C. Gray, I. Teppermeister, and H. H. Sawin, J. Vac. Sci. Technol. B 11, 1243 (1993). [6] F. R. McFeely, J. F. Morar, N. D. Shinn, G. Landgren, and F. J. Himpsel, Phys. Rev. B 30, 764 (1984). [7] F. R. McFeely, J. F. Morar, and F. J. Himpsel, Surf. Sci. 165, 277 (1986). [8] C. B. Mullins and J. W. Coburn, J. Appl. Phys (1994). [9] G. S. Oehrlein, J. Vac. Sci. Technol. A 11, 34 (1993).

24 3 Silicon etch rate enhancement by traces of metal Abstract We report the effect of nickel and tungsten contamination on the etch behavior of silicon. This is studied in a molecular beam setup, where silicon is etched by XeF 2 and Ar + ions. The etch process is directly monitored by the SiF 4 reaction products which leave the surface. The effect of contamination appears very pronounced after the ion beam is switched off: it leads to a temporary enhancement of the spontaneous etch rate on a time scale of 500 s. With traces of contamination on the order of 0.01 ML, the etch rate may be enhanced by a factor of 2 for W and somewhat less for Ni. It is concluded that the contamination moves into the silicon by diffusion to vacancies created by the Ar + ions. For 1 kev Ar + ions the contamination moves to a depth of 25 Å, comparable to the penetration depth of the ions. After etching a 170 Å thick layer, the catalytic effect of contamination is reduced to less than 5%. A simple model, which describes the measured effect of contamination very well, indicates that only 3% of the contamination is removed when a monolayer of silicon is etched away. Besides this catalytic effect, there are indications that contamination can also lower the etch rate under certain conditions, because of the formation of silicides. From the measurements no conclusions could be drawn about the underlying mechanism of etch rate enhancement. 1 Introduction During the production of an integrated circuit, a wafer can suffer several types of contamination which may influence the next step in the process and the final reliability of the device. As dimensions of features are still decreasing, damage to the wafer becomes more critical. The main form of contamination consists of traces of metal, sputtered from walls or electrodes, which are deposited on the surface of a wafer. Depending on the type of reactor and materials used for electrodes, traces of Ca, Fe, Zn, Cr, Mn, Ni, Cu and K in the range from 0.01 to 0.4 ML may be found on the wafer after etching. Even after extensive cleaning some of these contaminants remain on the surface 1. Another source of contamination consists of solutions used for, e.g., resist development which may leave traces of Na and K on the surface 2, 3. The increasing concern about contaminants is illustrated by the development of sensitive equipment to detect even smaller traces of contamination 4. As we focus on the effect of contamination on the etch behavior, the intentional doping of silicon with, e.g., B or P may also be regarded as contamination of the wafer. Contamination can have different effects on the etch behavior. Some contaminants (e.g. B 2 )

25 16 Chapter 3 reduce the etch rate, but most contaminants (K, Na 2, 3 ;Cu 5, 6 ;andp 7 ) increase the etch rate. The effect of metal contamination has so far only been studied for Cu on silicon. Some monolayers of Cu may increase the etch rate of silicon by F 2 by a factor of 100 at temperatures above 60 C. At room temperature no significant effect was reported 5, 6. The contamination of Cu can also result in anisotropic etching 6. The effect of tungsten contamination has been described briefly in an appendix of a paper by Vugts et al. 8 and the authors initially attributed the effect to damage-enhanced etching 9. In this study we report on the effect of nickel contamination on the etch behavior of silicon by XeF 2 and Ar + ions at room temperature. The effect of contamination is found to be very pronounced when the Ar + ions are switched off. Under clean conditions, the etch rate drops to the spontaneous etch level within several seconds after the Ar + ions have been switched off. In the presence of contamination, the etch rate also drops initially, but then increases temporarily and reaches the steady state situation of spontaneous etching again on a time scale of 500 s. In Sec. 2 the experimental setup and the sources of contamination are described. In Sec. 3, the effect of nickel contamination is studied as function of XeF 2 flux, ion flux and ion energy. As a function of these parameters, the effect of the contamination changes. This observed behavior is explained in Sec. 4 by a diffusion process of the contaminants to vacancies produced by the Ar + ions in the silicon. This mechanism is simulated with a simple model which describes the observed behavior very well. Furthermore, previously measured contamination effects of tungsten 9 are compared with those caused by nickel. This leads to a consistent picture of the influence of metal contamination. In Sec. 6 the conclusions are summarized. 2 Experimental setup 2.1 Apparatus The multiple-beam setup is described in detail by Vugts et al. 10. We limit ourselves to a brief description of the key features used in this study. The silicon sample is placed at the intersection of the XeF 2 beam and the Ar + beam in a UHV chamber (10 8 mbar) on a temperature controlled sample holder ( K). In this study, all measurements are performed at room temperature. The Si(100) samples (n type, phosphorus, 2 3 Ω cm) are cleaned with HF to remove native oxide before being mounted. Several samples are used during the experiments. The XeF 2 beam and Ar + beam are incident under 52 and 45, respectively, with respect to the surface normal. The sample is attached to the electrically insulated sample holder by a nickel retainer plate, with an opening of 5 mm in diameter (Fig. 1). The XeF 2 gas is supplied by a multi-capillary effusive gas source. During the experiments a XeF 2 flux Φ s (XeF 2 )of2and3mls 1 is used. For silicon 1 ML corresponds to m 2.FortheAr + beam, the ion energy is 1 kev, and its intensity is given in terms of the total ion current in µa hitting the Si sample and Ni retainer plate (Fig. 1 and Sec. 3). The ion current is not corrected for the influence of the emission of secondary electrons. The etch reaction is monitored by a quadrupole mass spectrometer (QMS) in a separate

26 2 Experimental setup 17 Ar + Ar + Ni + 45 o 5 stainless steel Ni Si Ni 18 Figure 1: Sample holder. The various sizes of the components are indicated in mm. As the Ar + ion beam partly hits the nickel retainer plate, Ni + can be sputtered and deposited on the Si sample (hatched) by a bias voltage of 100 V. UHV chamber (< 10 8 mbar) positioned along the surface normal of the sample. The central detection area (CDE) seen by the QMS is 3 mm in diameter. With the mass spectrometer, the nonreacted XeF 2 flux Φ(XeF 2 )(XeF + signal) and the reaction product SiF 4 (SiF + 3 signal) are measured. From the XeF 2 flux Φ s (XeF 2 ) leaving the inert Ni and the nonreacted XeF 2 flux Φ(XeF 2 ) leaving the Si surface, the reaction probability ɛ of the XeF 2 is calculated ɛ = Φ s(xef 2 ) Φ(XeF 2 ). (1) Φ s (XeF 2 ) The SiF 4 signal yields the production coefficient δ 4, defined by δ 4 = 4Φ(SiF 4) 2Φ s (XeF 2 ). (2) As SiF 4 is the only reaction product at room temperature, it follows from the F-atom balance that ɛ = δ 4 in a steady-state situation, which is used as a calibration of the production coefficient δ 4. The silicon etch rate R (ML/s) at any moment is now easily calculated by: R =Φ(SiF 4 )= δ 4 2 Φ s(xef 2 ). (3) For Si(100) the etching of 1 ML corresponds to a depth of 1.38 Å. Equation (3) only holds for spontaneous etching at room temperature. For ion-assisted etching SiF 2 is also produced and this has to be taken into account in the F-atom mass balance 8.

27 18 Chapter Sources of contamination Nickel The nickel retainer plate used to clamp the silicon sample (Fig. 1) was found to act as a source of Ni contaminants, since Ni is sputtered from this cover under ion bombardment. The sputter yield is 1.7 atoms/ion for Ni when bombarding with 1 kev Ar + ions at normal incidence 11.Ni + ions will also be sputtered 12, 13 and by applying a negative bias ( 100 V in our experiments), these ions can be deflected towards the silicon. The nickel contamination was confirmed by low energy ion scattering measurements as a diagnostic tool (see Sec. 3). The measurements were performed in a setup similar to the energy resolved ion scattering spectrometry (ERISS) setup with 5 kev Ne + ions 14. With this method only the top layer of the sample is analyzed and a low ion current is being used to prevent damage to the sample. Deeper layers have been analyzed after the silicon has been sputtered by Ne + ions with a higher current. Tungsten The source of tungsten contamination (reported previously 8 ) is an ionization gauge. The tungsten filament of the gauge reacts with residual XeF 2 which results in the formation of WF 6. This process is enhanced by electron and ion impact 15. Thus a background of WF 6 is formed in the vessel and WF 6 can be deposited on the Si, although the gauge is completely out of sight of the sample. No WF + x signal in the mass spectrometer was reported 8, 9, but the signal is probably below detection limit. The contamination by WF 6 can be eliminated by a liquid N 2 vessel which acts as a cryopump for both XeF 2 and WF 6. In contrast to the Ni contamination, which is produced only if the ion beam is on, this W is ever present when the ionization gauge is switched on. During the measurements presented here, the ionization gauge was always switched off and the liquid N 2 vessel was filled. 3 Experimental results 3.1 Etch behavior Figure 2 shows the response of the production coefficient δ 4 when the Ar + ions are switched off. In the upper graph no contamination is present and, within a few seconds, the production coefficient δ 4 drops to the production coefficient measured before the ion bombardment. However, in the presence of nickel contamination (see Sec. 3.3), the SiF 4 production is temporarily enhanced (lower graph of Fig. 2). On a time scale of 500 s the spontaneous value is recovered. For the measurements described here, the production coefficient δ 4,0 of SiF 4 for spontaneous etching in a steady-state situation was measured to be δ 4,0 =0.15 ± (4) In Fig. 3 the influence of the XeF 2 flux is shown for 2 and 3 ML/s. In these measurements the sample was bombarded with ions for 60 s. To compare the different fluxes, the time

28 3 Experimental results Ar + on Ar + off 4=2* (SiF 4 )/ s (XeF 2 ) t (s) 4,0 4,0 Figure 2: Effect of contamination on the production coefficient δ 4 for SiF 4. On t =0the Ar + ions are switched off. In case of a clean surface (upper plot), the etch rate drops immediately to the spontaneous value δ 4,0. In the presence of contamination we observe a temporary increase (hatched area) until the steady-state value δ 4,0 is reached after 500 s. The maximum increase at t =60s varies, depending on the specific conditions. The maximum enhancement may even become equal to the ion-assisted etch rate. axis t is replaced by the total dose D of XeF 2 that reached the sample after the ions have been switched off D =Φ s (XeF 2 ) t. (5) From the measurements it is seen that the temporary enhancement appears for both fluxes at the same dose of XeF 2. The maximum enhancement during the experiments differs with time and sample. From the measurements of the beam shape, it is concluded that this can be explained by differences in the shape of the ion beam caused by differences in the argon gas feed in the ion source. We conclude that the source of the enhancement is located in a layer that needs to be removed by etching before the enhancement disappears. It is easily calculated that the maximum enhancement is reached after etching 18 ± 5ML(25Å) and that the effect of contamination is reduced to less than 5% after 120 ± 15 ML (170 Å) has been etched away. In Fig. 4 the influence of the ion current is shown. The sample was bombarded with ions for 180 s. It thus follows that the maximum enhancement is reached after a number of Si layers has been removed, ranging from 30 ML for the highest currents to almost zero at 1.4 µa. The maximum enhancement, however, drops for higher ion currents. For the highest ion current, δ 4 even drops below the spontaneous value δ 4,0 immediately after the ions have been switched off. The influence of the ion bombardment time T ion on the etch rate enhancement is shown in Fig. 5. These measurements were done for an ion current of 6 µa. The maximum

29 20 Chapter 3 s(xef 2 )= 3 ML/s 4=2* (SiF 4 )/ s (XeF 2 ) s(xef 2 )= 2 ML/s 4, , XeF 2 dose D (ML) Figure 3: Influence of the XeF 2 flux on the enhancement of the production coefficient. The horizontal scale is the total dose of XeF 2 after the ions have been switched off. Measurements are shown for XeF 2 fluxesof2and3ml/s A A 4=2* (SiF 4 )/ s (XeF 2 ) A 2.6 A A XeF 2 dose D (ML) Figure 4: Influence of the ion current. Shown is the response after the ion beam is switched off, for various ion currents with bombardment time T ion =180 s.

30 3 Experimental results 21 4=2* (SiF 4 )/ s (XeF 2 ) T ion = 120 s 60 s 30 s XeF 2 dose D (ML) Figure 5: Enhancement for various values of the ion bombardment time T ion. Shown is the response after bombardment times of 30, 60 and 120 s at an ion current of 6 µa. 4=2* (SiF 4 )/ s (XeF 2 ) E ion =1 kev E ion =2.5 kev XeF 2 dose D (ML) Figure 6: Influence of the ion energy on the enhancement. The average response of three measurements is shown for 1 and 2.5 kev Ar + ions after the Si is bombarded with a 6 µa ion current for 60 seconds.

31 22 Chapter Ar + Ion current (a.u.) mm 0x Position (mm) Figure 7: Ion beam profile as measured with a wire scanner of width 1 mm. The graph shows the profile as used for the measurements in this article. enhancement increases with increasing bombardment time, but appears after about the same dose of XeF 2. The influence of ion energy is shown in Fig. 6. The measurements were done with an ion current of 6 µa during 60 s. It is clearly shown that the point of maximum enhancement moves deeper into the silicon for higher energy. Also, directly after switching off the ions, the etch rate drops below the spontaneous rate for the 2.5 kev ions. The same effect was measured for the highest ion current as shown in Fig Measurements of the ion beam shape In order to confirm the sputtering of nickel from the retainer plate, the shape of the ion beam is analyzed in a separate experiment. This is done by mounting a wire (double wound 0.5 mm Ni wire) vertically on the sample holder instead of a silicon sample. The wire is electrically insulated from the sample holder and placed 2 mm above it. The current of the ion beam hitting the wire (in the order of na) is measured with an electrometer. In Fig. 7 the shape of the ion beam is shown. The beam has a Gaussian central peak with a width of 5 mm and a very broad background. The asymmetric dip in ion current around x =-8 mm is explained by the influence of secondary electrons. These electrons are emitted in forward direction with respect to the ion beam, incident at 45,fromthe sample holder behind the scanning wire. These electrons thus have a maximum influence on the total measured current when the ion beam is on the left of the scanning wire and the electrons are reflected to the wire. From this beam profile, we see that about 50% of the ions hits the nickel retainer plate, since the ion beam is much wider than the exposed silicon sample with a diameter of 5 mm. In later experiments, the broad wings of the ion beam profile could be reduced by using a higher argon pressure in the ion source and improving the focus and width of the ion beam.

32 4 Discussion Surface analysis An etched Si sample used for the measurements described in the previous section was taken out for surface analysis. This sample was etched for 1000 s at a XeF 2 flow of 3 ML/s anda1kevionbeamof6.0µa, after the surface was cleaned from previous runs by spontaneous etching. The XeF 2 flow and ion current were stopped simultaneously. Lowenergy ion scattering spectroscopy (LEIS) measurements showed no contamination on the surface. A repeated measurement after sputtering a layer with a depth of an estimated 30 Å showed a nickel concentration of approximately 0.01 ML. This nickel contamination together with the beam shape confirms the earlier conclusion that sputtered nickel from the retainer plate causes the observed etch behavior displayed in Figs Discussion 4.1 Mechanism of enhancement by contamination In the previous section, the measurements show that the appearance of the enhancement clearly depends on the ion bombardment. The effect of ions on contaminants deposited on a surface of silicon has been described by Hart et al. 16. After deposition of 0.13 ML Cu on Si, they found that copper, when bombarded with 20 kev Ne + ions, moves into the silicon as deep as 600 Å instead of being sputtered. When successively bombarding this silicon with 800 ev Ar + ions, they found that copper moves back towards to surface to a depth of less than 125 Å. From these results it was concluded that the copper moves into the silicon by the mechanism of enhanced diffusion by defect production, these defects being created in the silicon by the energetic ions. The copper atoms thus migrate to depths comparable to the penetration depth of the incident ions. This mechanism of enhanced diffusion presumably also causes the nickel contamination to move into the silicon. In order to further corroborate this conjecture, we developed a model. To simulate the vacancy distribution for 1 kev Ar + ions as a function of the depth d we use the TRIM program developed by IBM 17. The ion angle is set at 45 with respect to the surface normal, in agreement with the experimental setup. The vacancy distribution v(d) (Å 1 ) as calculated with TRIM is transformed to an average distribution <v(d)> to include the movement of the surface due to the etching with a rate R =0.5δ 4 Φ s (XeF 2 ). This procedure is schematically shown in Fig. 8. The ion bombardment starts at t = 0 and ends at t = T ion. Since a depth z = d at t = T ion corresponds to a depth d + T ion R at t = 0, the average vacancy distribution is calculated by integration over this range of depths, that contributes to the creation of vacancies at the final depth z = d <v(d)> Tion = 1 Tion v[d +(T ion t )R]dt. (6) T ion 0 A steady-state distribution is reached when T ion R>d max,withd max the maximum penetration depth of the ions. In Fig. 9 the calculated vacancy distribution v(d) (solid line) is shown as well as the steady-state distribution <v(d)> (dotted line) for 1 kev Ar + ions on silicon. Since a steady-state distribution is reached in our experiments after about 30 s of ion-assisted etching, this distribution is used.

Si/XeF2 etching: Temperature dependence

Si/XeF2 etching: Temperature dependence Si/XeF2 etching: Temperature dependence Vugts, M.J.M.; Verschueren, G.L.J.; Eurlings, M.F.A.; Hermans, L.J.F.; Beijerinck, H.C.W. Published in: Journal of Vacuum Science and Technology. A: Vacuum, Surfaces,

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Reactive Etching and Surface Damage

Reactive Etching and Surface Damage PARTI Reactive Etching and Surface Damage ATOMIC AND MOLECULAR BEAM STUDIES OF ETCHING AND RELATED SURFACE CHEMISTRIES J.W. Coburn IBM Research Division, K33/801, 650 Harry Road, San Jose, CA 95120-6099

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular Vacuum Technology Vacuum Pumps Two general classes exist: Gas transfer physical removal of matter Mechanical, diffusion, turbomolecular Adsorption entrapment of matter Cryo, sublimation, ion Mechanical

More information

Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W.

Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W. Measurements of electric-field strengths in ionization fronts during breakdown Wagenaars, E.; Bowden, M.D.; Kroesen, G.M.W. Published in: Physical Review Letters DOI: 10.1103/PhysRevLett.98.075002 Published:

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Deuterium and fluorine radical reaction kinetics on photoresist*

Deuterium and fluorine radical reaction kinetics on photoresist* Deuterium and fluorine radical reaction kinetics on photoresist* Frank Greer, J. W. Coburn, and David B. Graves a) Department of Chemical Engineering, University of California, Berkeley, California 94720

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy The very basic theory of XPS XPS theroy Surface Analysis Ultra High Vacuum (UHV) XPS Theory XPS = X-ray Photo-electron Spectroscopy X-ray

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Photoemission Spectroscopy

Photoemission Spectroscopy FY13 Experimental Physics - Auger Electron Spectroscopy Photoemission Spectroscopy Supervisor: Per Morgen SDU, Institute of Physics Campusvej 55 DK - 5250 Odense S Ulrik Robenhagen,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) On the temperature dependence of the Arrhenius activation energy for hydroisomerization catalyzed by Pt/Mordenite Runstraat, van de, A.; van Grondelle, J.; van Santen, R.A. Published in: Journal of Catalysis

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Polydiagnostic study on a surfatron plasma at atmospheric pressure

Polydiagnostic study on a surfatron plasma at atmospheric pressure Polydiagnostic study on a surfatron plasma at atmospheric pressure Citation for published version (APA): Palomares, J. M., Iordanova, E. I., Gamero, A., Sola, A., & Mullen, van der, J. J. A. M. (2009).

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Dissociative Excitation of H2 in an RF Plasma

Dissociative Excitation of H2 in an RF Plasma Macalester Journal of Physics and Astronomy Volume 4 Issue 1 Spring 2016 Article 2 May 2016 Dissociative Excitation of H2 in an RF Plasma John Carlson Macalester College, jcarls10@macalester.edu Abstract

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Film growth precursors in a remote SiH/sub 4/ plasma used for high-rate deposition of hydrogenated amorphous silicon Kessels, W.M.M.; van de Sanden, M.C.M.; Schram, D.C. Published in: Journal of Vacuum

More information

Cover Page. The handle holds various files of this Leiden University dissertation.

Cover Page. The handle   holds various files of this Leiden University dissertation. Cover Page The handle http://hdl.handle.net/1887/44295 holds various files of this Leiden University dissertation. Author: Badan, C. Title: Surface-structure dependence of water-related adsorbates on platinum

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Materials analysis with Rutherford backscattering spectrometry; application to catalysts van Ijzendoorn, L.J.; de Voigt, M.J.A.; Niemantsverdriet, J.W. Published in: Reaction Kinetics and Catalysis Letters

More information

Auger Electron Spectroscopy Overview

Auger Electron Spectroscopy Overview Auger Electron Spectroscopy Overview Also known as: AES, Auger, SAM 1 Auger Electron Spectroscopy E KLL = E K - E L - E L AES Spectra of Cu EdN(E)/dE Auger Electron E N(E) x 5 E KLL Cu MNN Cu LMM E f E

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu

Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy (AES) Prof. Paul K. Chu Auger Electron Spectroscopy Introduction Principles Instrumentation Qualitative analysis Quantitative analysis Depth profiling Mapping Examples The Auger

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes

Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes Surface Chemistry and Reaction Dynamics of Electron Beam Induced Deposition Processes e -? 2 nd FEBIP Workshop Thun, Switzerland 2008 Howard Fairbrother Johns Hopkins University Baltimore, MD, USA Outline

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Multi (building)physics modeling

Multi (building)physics modeling Multi (building)physics modeling van Schijndel, A.W.M. Published: 01/01/2010 Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) Please check

More information

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Wafer holders Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Image: In-free, 3-inch sample holder fitting a quarter of a 2- inch wafer Reflection High Energy Electron

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information

( 1+ A) 2 cos2 θ Incident Ion Techniques for Surface Composition Analysis Ion Scattering Spectroscopy (ISS)

( 1+ A) 2 cos2 θ Incident Ion Techniques for Surface Composition Analysis Ion Scattering Spectroscopy (ISS) 5.16 Incident Ion Techniques for Surface Composition Analysis 5.16.1 Ion Scattering Spectroscopy (ISS) At moderate kinetic energies (few hundred ev to few kev) ion scattered from a surface in simple kinematic

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Citation for published version (APA): Hoekstra, S. (2005). Atom Trap Trace Analysis of Calcium Isotopes s.n.

Citation for published version (APA): Hoekstra, S. (2005). Atom Trap Trace Analysis of Calcium Isotopes s.n. University of Groningen Atom Trap Trace Analysis of Calcium Isotopes Hoekstra, Steven IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it. Please

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma Vol. 117 (2010) ACTA PHYSICA POLONICA A No. 3 Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma R. Knizikevičius Department of Physics, Kaunas University of Technology, 73 K. Donelaičio St., LT-44029

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Secondary Ion Mass Spectrometry (SIMS) Thomas Sky

Secondary Ion Mass Spectrometry (SIMS) Thomas Sky 1 Secondary Ion Mass Spectrometry (SIMS) Thomas Sky Depth (µm) 2 Characterization of solar cells 0,0 1E16 1E17 1E18 1E19 1E20 0,2 0,4 0,6 0,8 1,0 1,2 P Concentration (cm -3 ) Characterization Optimization

More information

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides

Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Hotwire-assisted Atomic Layer Deposition of Pure Metals and Metal Nitrides Alexey Kovalgin MESA+ Institute for Nanotechnology Semiconductor Components group a.y.kovalgin@utwente.nl 1 Motivation 1. Materials

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE

Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE Serial Number Filing Date Inventor 917.963 27 August 1997 Robert A. Meger Richard F. Fernster Martin Lampe W. M. Manheimer NOTICE The above identified patent application is available for licensing. Requests

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I. INTRODUCTION As device density increases according to Moore s law,

More information

Auger Electron Spectroscopy (AES)

Auger Electron Spectroscopy (AES) 1. Introduction Auger Electron Spectroscopy (AES) Silvia Natividad, Gabriel Gonzalez and Arena Holguin Auger Electron Spectroscopy (Auger spectroscopy or AES) was developed in the late 1960's, deriving

More information

PHYSICAL VAPOR DEPOSITION OF THIN FILMS

PHYSICAL VAPOR DEPOSITION OF THIN FILMS PHYSICAL VAPOR DEPOSITION OF THIN FILMS JOHN E. MAHAN Colorado State University A Wiley-Interscience Publication JOHN WILEY & SONS, INC. New York Chichester Weinheim Brisbane Singapore Toronto CONTENTS

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

High Resolution Photoemission Study of the Spin-Dependent Band Structure of Permalloy and Ni

High Resolution Photoemission Study of the Spin-Dependent Band Structure of Permalloy and Ni High Resolution Photoemission Study of the Spin-Dependent Band Structure of Permalloy and Ni K. N. Altmann, D. Y. Petrovykh, and F. J. Himpsel Department of Physics, University of Wisconsin, Madison, 1150

More information

Lecture 3 Vacuum Science and Technology

Lecture 3 Vacuum Science and Technology Lecture 3 Vacuum Science and Technology Chapter 3 - Wolf and Tauber 1/56 Announcements Homework will be online from noon today. This is homework 1 of 4. 25 available marks (distributed as shown). This

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

Profile simulation of gas chopping based etching processes

Profile simulation of gas chopping based etching processes Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany

More information

Influence of the shape of surgical lights on the disturbance of the airflow Zoon, W.A.C.; van der Heijden, M.G.M.; Hensen, J.L.M.; Loomans, M.G.L.C.

Influence of the shape of surgical lights on the disturbance of the airflow Zoon, W.A.C.; van der Heijden, M.G.M.; Hensen, J.L.M.; Loomans, M.G.L.C. Influence of the shape of surgical lights on the disturbance of the airflow Zoon, W.A.C.; van der Heijden, M.G.M.; Hensen, J.L.M.; Loomans, M.G.L.C. Published in: Proceedings of the 11th International

More information

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS)

A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) IOP Conference Series: Materials Science and Engineering A novel sputtering technique: Inductively Coupled Impulse Sputtering (ICIS) To cite this article: D A L Loch and A P Ehiasarian 2012 IOP Conf. Ser.:

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

JARA FIT Ferienprakticum Nanoelektronik Experiment: Resonant tunneling in quantum structures

JARA FIT Ferienprakticum Nanoelektronik Experiment: Resonant tunneling in quantum structures JARA FIT Ferienprakticum Nanoelektronik 2013 Experiment: Resonant tunneling in quantum structures Dr. Mihail Ion Lepsa, Peter Grünberg Institut (PGI 9), Forschungszentrum Jülich GmbH 1. Introduction The

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7 Advanced Lab Course X-Ray Photoelectron Spectroscopy M210 As of: 2015-04-01 Aim: Chemical analysis of surfaces. Content 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT 3 3.1 Qualitative analysis 6 3.2 Chemical

More information

Auger Electron Spectroscopy

Auger Electron Spectroscopy Auger Electron Spectroscopy Auger Electron Spectroscopy is an analytical technique that provides compositional information on the top few monolayers of material. Detect all elements above He Detection

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Study of DC Cylindrical Magnetron by Langmuir Probe

Study of DC Cylindrical Magnetron by Langmuir Probe WDS'2 Proceedings of Contributed Papers, Part II, 76 8, 22. ISBN 978-737825 MATFYZPRESS Study of DC Cylindrical Magnetron by Langmuir Probe A. Kolpaková, P. Kudrna, and M. Tichý Charles University Prague,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Electron impact excitation and dissociation of halogen-containing molecules

Electron impact excitation and dissociation of halogen-containing molecules NUKLEONIKA 2003;48(2):89 93 ORIGINAL PAPER Electron impact excitation and dissociation of halogen-containing molecules Masashi Kitajima, Ryoji Suzuki, Hiroshi Tanaka, Lukáš Pichl, Hyuck Cho Abstract A

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

EEE4106Z Radiation Interactions & Detection

EEE4106Z Radiation Interactions & Detection EEE4106Z Radiation Interactions & Detection 2. Radiation Detection Dr. Steve Peterson 5.14 RW James Department of Physics University of Cape Town steve.peterson@uct.ac.za May 06, 2015 EEE4106Z :: Radiation

More information

On machine measurements of electrode wear in micro EDM milling

On machine measurements of electrode wear in micro EDM milling Downloaded from orbit.dtu.dk on: Nov 13, 2018 On machine measurements of electrode wear in micro EDM milling Valentincic, J.; Bissacco, Giuliano; Tristo, G. Published in: ISMTII 2003 Publication date:

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960 Introduction to X-ray Photoelectron Spectroscopy (XPS) X-ray Photoelectron Spectroscopy (XPS), also known as Electron Spectroscopy for Chemical Analysis (ESCA) is a widely used technique to investigate

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information