BCB WAFER BONDING COMPATIBLE WITH BULK MICRO MACHINING TAE-JOO HWANG

Size: px
Start display at page:

Download "BCB WAFER BONDING COMPATIBLE WITH BULK MICRO MACHINING TAE-JOO HWANG"

Transcription

1 Proceedings of IPACK03 International Electronic Packaging Technical Conference and Exhibition July 6 11, 2003, Maui, Hawaii, USA InterPack WAFER BONDING COMPATIBLE WITH BULK MICRO MACHINING TAE-JOO HWANG Dan O. Popa Jian-Qiang Lu Byoung-Hun Kang Harry E. Stephanou Rensselaer Polytechnic Institute Center for Automation Technologies CII 8015, 110 8th Street, Troy, New York , U.S.A Phone: , FAX: , hwang@cat.rpi.edu ABSTRACT Adhesive wafer bonding is a good substitute in wafer-towafer bonding applications requiring low processing temperatures and electrical potentials, though at the expense of difficulty with chemical, mechanical, and thermal stability over time. In the case of wafer bonding for Micro-Electro-Mechanical System (MEMS) applications, the problem is compounded not just by consideration of bond strength, but also by limitations in the way adhesives are delivered to the interface, since traditional spin-coating methods cannot be directly employed. A typical approach is the formation of microfluidic channels via wafer bonding, where the adhesive layer should only be present on the mesa structures. The introduction of (benzocyclobutene), dry-etchable polymers, makes it possible to pattern the adhesive layer in a similar fashion with the rest of the bulk material. In this paper we present a -based wafer bonding process, which is compatible with bulk micro machining. Depending on applications, can replace the silicon oxide or silicon nitride as a hard mask in bulk micro machining. A process using as both bonding adhesive and bulk-etch mask is a good option for stacking microstructures such as building micro-fluidic circuitry. Keywords: wafer bonding, MEMS packaging, Polymer bonding, Microfluidic channels. INTRODUCTION Wafer-to-wafer bonding as a mature technology in microelectronics is increasingly relevant for high volume packaging of Micro-Electro-Mechanical System (MEMS) devices. Anodic and fusion bonding are known to provide very strong, hermetic wafer bonds, but with very strict requirements for surface preparation and process conditions. In addition, the range of processing temperatures and voltages limits the use of these two bonding techniques in some applications. Wafer bonding using dielectric polymers, such as PI 2610, S1818, and, more, recently, (benzocyclobutene) has been proposed for stacking IC wafers in three-dimensional (3D) electronics [1-3]. The properties of - excellent mechanical strength, very low outgassing, less sensitivity to surface preparation, low cost, make it a very attractive polymer for wafer bonding. Analysis of bonding quality is an important area in wafer bonding research and many tests have been proposed, such as peel testing, crack opening test, double cantilever beam (DCB) test and 4-point bending test [4-8]. In this paper, we refer previous work for determining the adhesion strength of / and /SiO 2 in terms of measured interface fracture energy [9-11]. is dry-etchable by plasma and laser, thus enabling the transfer of micron scale patterns via photolithography [12]. Taking advantage of this property, we propose to use not just as the bonding interface between wafers, but also as masks for bulk micromachining, allowing for fabrication of 3D structures such as microfluidic channels. In the case of MEMS wafers, there are inherent limitations in the way the polymer is delivered to the interface, since traditional spin-coating methods cannot be directly employed [13]. This problem can be addressed by micromachining the layer together with the bulk material. Three-dimensional microstructures fabricated using and DRIE (deep reactive ion etching) have 1 Copyright 2003 by ASME

2 been previously presented in [14], and hermeticity of cavities for housing RF MEMS were presented in [18]. And in [4] microchannels are formed via wafer bonding using different polymers through the use of the stamping method. This method allows for the transfer of adhesive from a flat surface to the mesa structures of a patterned wafer, but, in this case, the adhesion between polymer and the cannot be precisely controlled. is highly resistant to etchants such as KOH and TMAH (tetra methyl ammonium hydroxide). In this paper we combine the processing of with wet etching of bulk s. A number of inorganic etch-stop layers and etchants are investigated and we demonstrated that a hardcured layer could be used both as a hard mask and a bonding inter-layer. is introduced in the process before photolithography, and it is fully cured after the patterned wafers are aligned and bonded. RIE processing conditions for soft cured film were studied with a range of CF 4 :O 2 ratios. For the use of the layer as a wet etchmask, we present results rating compatibility with several bulk-etching processes, including KOH, HF-KOH, TMAH and HF-TMAH etching. Although is stable in most organic solvents and aqueous acids, soft-cured showed layer delamination during Si wet-etch in KOH and TMAH solutions with very shallow sidewall definition. A much better sidewall definition is obtained with inorganic layers such as silicon dioxide, or silicon nitride. We concluded that the success of bulk micro machining with depends on adhesion at the interface between substrate and layer. In addition, the cured state of, and adhesion promoters are important factors influencing the resistance to the wet etchants. FABRICATION The fabrication process for bulk micro machined structures with a layer is depicted in Fig A layer of oxide or nitride (if needed) is deposited on the wafer prior to the layer. is then spin-coated and cured (partially or completely). Patterning of is done using conventional photolithography and RIE plasma etching. The oxide and nitride layers are then opened for wet etching of the bulk wafer. A list of process steps using silicon nitride is listed below: 1. Deposition of low stress silicon nitride using PECVD; 2. Spin-coat and bake adhesion promoter; 3. Spin-coat with dynamic dispensing & spreading; 4. Pre-bake ; 5. Soft-curing or hard-curing of on a hot plate with nitrogen shower along with the curing schedule [15]; 6. Spin-coat and bake adhesion promoter; 7. Spin-coat and pre-bake photoresist (PR); 8. Photolithography process to develop the patterns; 9. Post-bake the photoresist; 10. RIE etch the patterns; 11. Etch silicon substrate using KOH or TMAH; 12. Align and bond wafers. In the case of silicon dioxide used as an intermediate layer below, an extra buffer oxide-etching step should be added to the steps prior to Si etching. During the process development a number of critical factors were identified and addressed via targeted experiments: Whether there is adequate adhesion of to bare silicon, or to nitride and oxide layers. We found that satisfactory adhesion is obtained by pre and post baking of adhesion promoters. In our experiments we used adhesion promoters at each interface. It has been previously reported that after wafer bonding, the weakest interface is not the to cross-link, but the adhesion between and hard mask (SiO 2 or ) [9,10]. Whether PR can be removed from the layer. We found that PR on the layer can be patterned and developed using conventional photolithography. Whether the layer can be used as a hard mask during wet etching of the bulk material. According to published data we expected that the selectivity of, SiO 2 and Si to KOH etching to be roughly (4000:400:1), which seems to suggest that the layer can be used as a hard mask. During our experiments, the hard-cured layer on bare Si remained in good shape. We also found that the delamination under TMAH etching is dependent on cured state. Whether partially cured can be plasma-etched, and what etch-rates can be obtained. To answer this question we performed RIE experiments using different gas concentrations, and level of curing in order to determine the etch selectivity of to photoresist. Experiments show that selectivity depends on the gas composition, and an optimum composition can be selected to maximize the overall etch rate while the etch selectivity to PR is as high as necessary. What layer thickness is necessary to ensure enough is left at the end of the process for an adequate bond. Considering that the layer will be thinned by both the dry and wet etching processes, we found that the layer thickness shown in Fig. 1 is adequate for bonding wafers with channels at least 100 µm deep Unit: µm PR 1813 "Si" substrate Adhesion promoter, ~ µm 100µ m "Si" substrate Figure 1. Layer thickness prior and after processing. 2 Copyright 2003 by ASME

3 Wafer cleaning Spin-coat AP3000 & Curing UV Developing patterns PECVD Spin-coat PR O CF 2 4 RIE etching at the same time for 2 minutes and the film thickness was measured using an Alpha step surface profiler. We varied the relative ratio of gas components between oxygen and CF 4 because the selectivity and etch rate are highly dependent on this ratio [16]. The rest of the processing parameters were the same as recommended by the manufacturer. Figures 3, 4, and 5 show the experiment diagram and the etch rate and selectivity results. The results indicate that a higher selectivity can be achieved for higher fluorine gas composition, but a higher etch rate is achieved at higher oxygen concentrations. In our experiments the initial layer thickness was 2 µm for PR and 2.4 µm for, therefore we need higher selectivity than that the one given by the high etch rate with 9:1 (O 2 :CF 4 ) composition. A ratio of 5:5 gas composition was chosen to minimize the loss of layer, and also provide a reasonably fast etch rate. a ( h ) PR init hpr = a, = RPR (1) t where t is the process time, R PR is the photoresist etch rate. SiO 2 HF 10:1 Buffered Oxide etch (only in case of SiO2) KOH or TMAH Si Nitride silicon etching htotal {( htotal) init a} = R (2) t where R is the etch rate, and the etch selectivity is given by: R = S (3) PR R PR Figure 2 Process flow for building a microstructure with a layer PR S1813 (h total ) init PR S1813 (h PR ) init EXPERIMENTAL INVESTIGATION FOR SELECTING DRY ETCHING PARAMETERS RIE etch for 2 min. RF power: 300W Pressure: 200mTorr After the photoresist pattern is developed, we can transfer the pattern to the layer by RIE etching. Because both and PR will be etched together during this step, the process parameters such as power, fluorine gas component ratio and pressure need to be selected in order to match the etch rates. Inappropriate settings for these parameters can lead to severe layer loss and decrease in bond strength. To determine appropriate parameters for etching, :PR etch selectivity experiments were performed. Soft masking using AZP 4620 photoresist was previously investigated by Berry et. al. [12]. In our case, we performed selectivity experiments on Shipley 1813 photoresist and cyclotene We prepared two sets of samples for measuring the relative step size between the photoresist and layers. One sample had only PR on the substrate and the other had both PR and partially or fully cured processed according to our recipe. The two samples were RIE-etched h total h PR Figure 3 Selectivity and etch rate measurement scheme Etch rate (micron/min) Etch rate for and 10:90 20:80 30:70 40:60 50:50 90:10 (O2/CF4) gas composition Figure 4. Etch rates of and photoresist. R(PR) R() 3 Copyright 2003 by ASME

4 Ratio Selectivity(:PR) (O2/CF4) gas composition Figure 5. Etch selectivity of to PR. Selectivity Sample Adhesion Promoter % curing Substrate Etchant A No Soft Si KOH B Yes Soft Si KOH C Yes Soft SiO 2 +Si KOH D Yes Soft SiO 2 +Si TMAH E Yes Pre-baked +Si TMAH F Yes Soft +Si TMAH G Yes Hard +Si TMAH H Yes Hard Si TMAH Table 1 Sample preparation for etching MASKING FOR BULK SILICON ETCHING Figure 6. Sample A prior to and after KOH etching. The layer is completely gone in 40 minutes. Figure 7. KOH etched sample B. Some is still present on the wafer surface. Silicon sidewall Figure 8. Microscopic view of sample B. After the layer is patterned, the nitride/oxide layers are opened using dry-etch/boe, and the bulk can be etched using KOH or TMAH. In this section we describe the experiments undertaken to determine whether the layer can survive this process step. While is highly resistant to chemical attack by most organic solvents, bases, and aqueous acids, the adhesion layer between and substrate is particularly vulnerable. These adhesion problems were studied by comparing adhesion between and Si with that between and nitride or oxide. We also studied loss (or delamination) in KOH and TMAH as a function of the level of curing prior to the wetetch step. The adhesion strength might also be dependent on the type of adhesion promoter used, however, only one type promoter (AP 3000) is currently available. In order to compare the etch resistance of the film, eight different types of samples (labeled A-H) were fabricated and tested, as shown in Table 1. Sample A, B, and C were dipped into 45% KOH solution for 40 minutes and then inspected, while samples D, E, F, G, and H were dipped into (25% TMAH solution) for up to 100 minutes. Figures 6,7, and 8 show that inadequate adhesion between and the substrate causes delamination of film in the KOH solution. While the film etches much slower in KOH than the Si substrate, the failure of film occurred at the interface, and a thin film residue is still present in solution after 40 minutes. We conclude that the higher etch rate for the substrate material, and the weaker the adhesion of to the substrate, the shallower the sidewall definition will be. The observed delamination mechanism is shown in Fig. 9. We expected that the penetration of KOH under the film would be retarded if we used a substrate having a slower etch rate. The experiments were thus repeated with silicon dioxide (Fig. 10). The experimental results show that the sidewall definition improved even further if TMAH is used for etching (sample D), and if a silicon nitride hard mask is used (sample F). 4 Copyright 2003 by ASME

5 KOH attacks interface btw. and Si wafer surface in Table 2, and Fig. 11, 12, and 13. In Fig. 14, a 15 µm strip of was removed by mechanical means, but a 5x magnification image does not allow the measurement of any etch region after 100 minutes of etching in TMAH. We conclude that hard-cured layers combined with bulkmicromachining in TMAH solution, are capable of transferring of a adhesive pattern on mesa structures at least 100 µm high, and with a feature resolution better than 1 µm. OH- surface is exposed to KOH % of curing Distance from to the TMAH etch wafer edge time Pre-baking ~1.3 mm 20 min Soft-cured ~ 400 micron 20 min Hard-cured Not visually measurable with 5 x magnification microscope 100 min KOH starts to etch surface and layer starts to delaminate Table 2. Etch conditions and the results for studying the effect of curing on the etch rate. residue Si surface is etched along with a gentle angle. ~1.3mm Figure 9. Delamination mechanism in KOH solution. Figure 11. Pre-baked sample after TMAH etching ~0.4mm Figure 10. KOH etched sample C. Most of the film remains on the mesa structures after 40 minutes. The etch selectivity SiO 2 :Si is in the range :1 in TMAH and :1 in KOH, depending on concentration. Both fully cured and etch rates in KOH and TMAH are too small to be determined. After performing the previous experiments, we concluded that TMAH/KOH etching with a silicon nitride bottom layer for provides the best result. For samples E, F, and G, results show that the partially cured film didn t survive the wet-etch while a fully cured layer did. Samples E and F were etched for 20 minutes in TMAH, while sample G was etched for 100 minutes. We measured the distance between the film and the edge of silicon nitride to show the film loss. The results are shown Figure 12. Soft-cured sample after TMAH etching 5 Copyright 2003 by ASME

6 15 µm Figure 13. Hard-cured sample after TMAH etching Si substrate suggest that the weakest interface is the adhesion promoter layer (Fig. 16). A special instrument, such a XPS (X-ray photoelectron spectroscopy), is necessary in order to identify the fractured layer. However, this is consistent with a result provided by R. J. Hohlfelder and D. A. Maidenberg in [9], where the interface fracture energy, "Gc", was measured by double cantilever beam tests and ranged from 10 to 60 J/m² for the interface of and with epoxy underfill. In addition, J.M. Snodgrass and D. Pantelidisthe measured the interface fracture energy for /SiO 2 with AP3000 to be below 21 J/m², by double cantilever beam tests [10]. Our experiments shown in Table 3 using a four point bending test, indicate that the bond strength after the proposed recipe will be almost as good as the bond strength between flat wafers processed using the standard recipe. 1.18mm Figure 14. Fluidic circuitry fabricated by TMAH etching using SiNx and as a wet-etch mask. BONDING AFTER BULK MICROMACHINING Using pre-baked, flat wafers can be aligned and bonded at temperatures as low as 180 C, and pressures greater than 1.7 bars [1,5]. In our case, we must bond wafers with a fully cured and patterned layer because uncured film is not able to survive during bulk micromachining. A preliminary experiment failed to bond two wafers with fully cured patterned at such a low temperature. This can be explained by the fact that uncured provides more conformity and activation to the mated surface due to a lower glass transition temperature than that of fully cured. The glass transition temperature for uncured is very low and is strongly dependent on curing percentage [17]. Therefore, it was expected that relatively high temperature (but not higher than 350 C) and relatively high pressure was necessary to successful bonding of wafers with fully cured mesa structures. Preliminary bonding experiments were performed with "/AP3000/Si" and "/AP3000/SiNx/Si" samples processed in similar conditions to the G, and H samples. In addition, we bonded another pair of wafers with pre-baked on SiNx, which is not exposed to TMAH, for the purpose of comparison. The bonding was performed using the standard recipe for bonding. In all cases, a simple razor blade debonding test showed one of the mated surfaces appeared to have no, apparently as shown in Fig. 15. This seems to Figure 15. Mixed-mode delaminating beam sample C Fully cured Fully cured AP3000 D A B Possible fracture path & the weakest link in the system Figure 16. Schematic diagram of bonded layers 3 Samples Critical adhesion energy, G c (J/m 2 ) Standard deviation Hard-cured bonding Normal bonding Table 3 Comparison of critical adhesion energy 6 Copyright 2003 by ASME

7 CONCLUSION In this paper we presented a wafer bonding process for patterned wafers. The wafer bonding using a layer is taken through a normal wet etching of the bulk wafer leading to the formation of deep lithographically defined structures. We showed that the hard-cured layer survives bulk micromachining with TMAH. After TMAH etching, we found that the bonding strength is similar to the normal strength of flat wafers bonded with. Moreover, it was observed that the bonding strength between hard cured layers was higher than that of the and silicon nitride. Due to the very good chemical stability of, our bonding method provides a low cost alternative to fusion bonding for MEMS wafers, and to the traditional stamping and screen deposition of adhesives on mesa structures. This method is simple, yet very useful for the wafer-level encapsulation of micro-fluidics, micro-photonics, and MEMS. Further on-going experiments are aimed at a quantitative characterization of the -etched cavities, and the bonding energy for patterned MEMS wafers. REFERENCES [1] Niklaus, F., Enoksson, P., Kalvesten, E., and Stemme, G., 2001, Low-temperature full wafer adhesive bonding, Journal of Micromechanics and Microengineering 11, no. 2 [2] Niklaus, F., Enoksson, P., Kalvesten, E., and Stemme, G., 2000, Void-Free Full Wafer Adhesive Bonding, 13th IEEE Int. Conference on MicroElectroMechanical Sytems (MEMS'00) Miyazahci, Japan, January 23-27, 2000, pp [3] Niklaus, F., Enoksson, P., Griss, P., Kalvesten, E., and Stemme, G., 2001, Low temperature Wafer-Level Transfer Bonding, J. of Microelectromechanical systems, Vol. 10, NO. 4, pp [4] Den Besten, C., van Hal, R.E.G., Munoz, J., and Bergveld, P., 1992, Polymer bonding of micro-machined silicon structures, Proceedings. IEEE Micro Electro Mechanical Systems. An Investigation of Micro Structures, Sensors, Actuators, Machines and Robots, New York, NY, USA : IEEE, xv+237, pp [5] Lu, J.-Q., Kwon, Y., Rajagopalan, G., Gupta, M., McMahon, J., Lee, K-W., Kraft, P.R., McDonald, J. F., Cale, T.S., Gutmann, R.J., Xu, B., Eisenbraun, E., Castracane, J., and Kaloyeros, A., 2002,"A Wafer-Scale 3D IC Technology Platform using Dielectric Bonding Glues and Copper Damascene Patterned Inter-Wafer Interconnects", Proceedings of 2002 IEEE International Interconnect Technology Conference (IITC), San Francisco, CA, June 3-5, 2002, pp [6] Blom, M.T., Tas, N.R., Pandraud, G., Chmela, E., Gardeniers, J.G.E., Tijssen, R., Elwenspoek, M., and van den Berg, A., 2001, Failure mechanisms of pressurized microchannels: model and experiments, Journal of Microelectromechanical Systems 10, no. 1, pp [7] Tong, Q., Y., and Gosele, U., 1999, "Semiconductor wafer bonding: science and technology", John Wiley & sons, New York. [8] Satoh, A., 1999, Water-glass Bonding, Sensors and Actuators, A72, pp [9] Hohlfelder, R. J., Maidenberg, D. A., and Dauskardt, R. H., 2001, Adhesion of Benzocyclobutene-passivated silicon in epoxy layered structures, J. Master. Res., Vol. 16, No. 1, pp. 243~255. [10] Snodgrass, J.M., Pantelidis, D., Jenkins, M.L., Bravman, J.C., and Dauskardt, R.H., 2002, "Subcritical debonding of polymer/silica interfaces under monotonic and cyclic loading", Acta Materialia 50, no. 9, (24 May 2002), pp [11] Dauskardt, R.H., Lane, M., Ma, Q., and Krishna N., 1998, "Adhesion and debonding of multi-layer thin film structures", Engineering Fracture Mechanics 61, 1998, pp [12] Berry, M. J., Garrou, P., Rogers, B., and Turlik, I., 1994, "Soft Mask for Via Patterning in Dielectric", Int. J. Microcircuits & Electronic Packaging, Vol. 17, 1994, pp [13] Matsumoto, T., Satoh, M., et., al., 1998, New Three- Dimensional Wafer Bonding Technology Using the Adhesive Injection Method, Jpn. Journal of Applied Physics, Vol. 37, pp [14] Chou, T. K., and Najafi, K., 2001, 3D MEMS Fabrication Using Low-Temperature Wafer Bonding With Benzocyclobutene (), Transducers 2001, Eurosensors XV, pp [15] The Dow chemical website, [16] The Dow chemical website, [17] Bair, H. E., and Pryde, C. A., 1991, "Curing & Glass Transition Behavior of a Benzocyclobutene Polymer", Proceedings Society of Plastics Engineers, ANTEC, Montreal, 1991, pp [18] Joudain, A., et., al., investigations of the hermeticity of -sealed cavities for housing RF MEMS devices, Proc. MEMS 2002, Las Vegas, NV, pp Copyright 2003 by ASME

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

http://kth.diva-portal.org This is an author produced version of a paper published in IEEE 6th International Conference on Micro Electro Mechanical Systems (MEMS), 013. This paper has been peer-reviewed

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Lecture 18: Microfluidic MEMS, Applications

Lecture 18: Microfluidic MEMS, Applications MECH 466 Microelectromechanical Systems University of Victoria Dept. of Mechanical Engineering Lecture 18: Microfluidic MEMS, Applications 1 Overview Microfluidic Electrokinetic Flow Basic Microfluidic

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration 2016-06-15, Chemnitz Chemnitz University of Technology Basic Research Fraunhofer ENAS System-Packaging (SP) Back-End of Line (BEOL) Applied

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2. Basic IC fabrication processes 2.1 Deposition and growth 2.2 Photolithography

More information

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS Maria Suggs, Physics Major, Southern Polytechnic State University

More information

Thin Wafer Handling Debonding Mechanisms

Thin Wafer Handling Debonding Mechanisms Thin Wafer Handling Debonding Mechanisms Jonathan Jeauneau, Applications Manager Alvin Lee, Technology Strategist Dongshun Bai, Scientist, 3-D IC R&D Materials Outline Requirements of Thin Wafer Handling

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments 07-Rodrigues-V4 N2-AF 19.08.09 19:41 Page 84 Time-of-Flight Flow Microsensor using Free-Standing Microfilaments Roberto Jacobe Rodrigues 1,2, and Rogério Furlan 3 1 Center of Engineering and Social Sciences,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by Foundations of MEMS Second Edition Chang Liu McCormick School of Engineering and Applied Science Northwestern University International Edition Contributions by Vaishali B. Mungurwadi B. V. Bhoomaraddi

More information

2.76/2.760 Multiscale Systems Design & Manufacturing

2.76/2.760 Multiscale Systems Design & Manufacturing 2.76/2.760 Multiscale Systems Design & Manufacturing Fall 2004 MOEMS Devices for Optical communications system Switches and micromirror for Add/drops Diagrams removed for copyright reasons. MOEMS MEMS

More information

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS)

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee Department of Mechanical Engineering University of Colorado Boulder, CO 80309-0427 leeyc@colorado.edu January 15, 2014 1 Contents

More information

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco Structuring and bonding of glass-wafers Dr. Anke Sanz-Velasco Outline IMT Why glass? Components for life science Good bond requirements and evaluation Wafer bonding 1. Fusion bonding 2. UV-adhesive bonding

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Proceedings of the IPACK2009 ASME InterPACK 09 July 19-23, 2009, San Francisco, California, USA

Proceedings of the IPACK2009 ASME InterPACK 09 July 19-23, 2009, San Francisco, California, USA Proceedings of the IPACK009 ASME InterPACK 09 July 9-3, 009, San Francisco, California, USA IPACK009-87 FAST THERMAL ANALYSIS OF VERTICALLY INTEGRATED CIRCUITS (3-D ICS) USING POWER BLURRING METHOD Je-Hyoung

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design Tamkang Journal of Science and Engineering, Vol. 12, No. 4, pp. 399 407 (2009) 399 Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System

More information

DESIGN AND FABRICATION OF THE MICRO- ACCELEROMETER USING PIEZOELECTRIC THIN FILMS

DESIGN AND FABRICATION OF THE MICRO- ACCELEROMETER USING PIEZOELECTRIC THIN FILMS DESIGN AND FABRICATION OF THE MICRO- ACCELEROMETER USING PIEZOELECTRIC THIN FILMS JYH-CHENG YU and FU-HSIN LAI Department of Mechanical Engineering National Taiwan University of Science and Technology

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Development of low cost set up for anodic bonding and its characterization

Development of low cost set up for anodic bonding and its characterization Indian Journal of Pure & Applied Physics Vol. 46, October 2008, pp. 738-743 Development of low cost set up for anodic bonding and its characterization C C Tripathi +, Shruti Jain +, Pawan Joshi +, S C

More information

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS R.R.A Syms, C. Gormley and S. Blackstone Dept. of Electrical and Electronic Engineering, Imperial

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

EECS C245 ME C218 Midterm Exam

EECS C245 ME C218 Midterm Exam University of California at Berkeley College of Engineering EECS C245 ME C218 Midterm Eam Fall 2003 Prof. Roger T. Howe October 15, 2003 Dr. Thara Srinivasan Guidelines Your name: SOLUTIONS Circle your

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

Nordson MARCH Concord, CA, USA

Nordson MARCH Concord, CA, USA Overcoming the Challenges Presented with Automated Selective Conformal Coating of Advanced Electronic Assemblies by Employing Plasma Treatment Technology David Foote Nordson MARCH Concord, CA, USA david.foote@nordsonmarch.com

More information

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING Dr. Boris Statnikov Introduction Modern MICRO and NANO technologies in ultra- and high-frequency electronics are widely focused on application

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

MODELING OF T-SHAPED MICROCANTILEVER RESONATORS. Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Cané

MODELING OF T-SHAPED MICROCANTILEVER RESONATORS. Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Cané Stresa, Italy, 5-7 April 007 MODELING OF T-SHAPED MICROCANTILEVER RESONATORS Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Centro Nacional de Microelectrónica

More information

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding Jian-Qiang Lu, Timothy S. Cale, and Ronald J. Gutmann 10.1 Introduction Wafer bonding with intermediate polymer adhesives is one of the

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Platform Isolation Using Out-of-plane Compliant Mechanism

Platform Isolation Using Out-of-plane Compliant Mechanism Platform Isolation Using Out-of-plane Compliant Mechanism by Arpys Arevalo PhD Candidate in Electrical Engineering Computer, Electrical and Mathematical Sciences and Engineering (CEMSE) King Abdullah University

More information

Microfabrication for MEMS: Part I

Microfabrication for MEMS: Part I Microfabrication for MEMS: Part I Carol Livermore Massachusetts Institute of Technology * With thanks to Steve Senturia, from whose lecture notes some of these materials are adapted. CL: 6.777J/2.372J

More information

Avatrel Dielectric Polymers for Electronic Packaging

Avatrel Dielectric Polymers for Electronic Packaging Avatrel Dielectric Polymers for Electronic Packaging R. A., Shick, S. K. Jayaraman, B. L. Goodall, L. F. Rhodes, W.C. McDougall Advanced Technology Group BF Goodrich Company 9921 Brecksville Road Cleveland,

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4 Issued: Wednesday, March 4, 2016 PROBLEM SET #4 Due: Monday, March 14, 2016, 8:00 a.m. in the EE C247B homework box near 125 Cory. 1. This problem considers bending of a simple cantilever and several methods

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Fabrication and Characterization of High Performance Micro Impedance Inclinometer

Fabrication and Characterization of High Performance Micro Impedance Inclinometer Fabrication and Characterization of High Performance Micro Impedance Inclinometer Chia-Yen Lee Department of Vehicle Engineering National Pingtung University of Science and Technology, Pingtung, Taiwan

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Supplementary information for

Supplementary information for Supplementary information for Transverse electric field dragging of DNA in a nanochannel Makusu Tsutsui, Yuhui He, Masayuki Furuhashi, Rahong Sakon, Masateru Taniguchi & Tomoji Kawai The Supplementary

More information

percolating nanotube networks

percolating nanotube networks Supporting Information for: A highly elastic, capacitive strain gauge based on percolating nanotube networks 0.2 0.18 0.16 0.14 Force (kgf) 0.12 0.1 0.08 0.06 0.04 0.02 Raw Data Mooney-Rivlin (R 2 =0.996)

More information

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER Srinivasulu Korrapati B.E., Anna University, India, 2005 PROJECT Submitted

More information

Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches

Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches Shivani Joshi 1,2, *, Rishab Bagani 1, Lucas Beckers 2 and Ronald Dekker 1,2 1 Department of

More information

b. The displacement of the mass due to a constant acceleration a is x=

b. The displacement of the mass due to a constant acceleration a is x= EE147/247A Final, Fall 2013 Page 1 /35 2 /55 NO CALCULATORS, CELL PHONES, or other electronics allowed. Show your work, and put final answers in the boxes provided. Use proper units in all answers. 1.

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress JOURNAL OF APPLIED PHYSICS VOLUME 86, NUMBER 12 15 DECEMBER 1999 Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress C. N. Liao, a)

More information

Free-Space MEMS Tunable Optical Filter in (110) Silicon

Free-Space MEMS Tunable Optical Filter in (110) Silicon Free-Space MEMS Tunable Optical Filter in (110) Silicon Ariel Lipson & Eric M. Yeatman Optical & Semiconductor Group Outline Device - Optical Filter Optical analysis Fabrication Schematic Fabricated 2

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Combined Finned Microgap with Dedicated Extreme-Microgap Hotspot Flow for High Performance Thermal Management

Combined Finned Microgap with Dedicated Extreme-Microgap Hotspot Flow for High Performance Thermal Management Combined Finned Microgap with Dedicated Extreme-Microgap Hotspot Flow for High Performance Thermal Management Reza Abbaspour 1 *, David C. Woodrum 2, Peter A. Kottke 2, Thomas E. Sarvey 1, Craig E. Green

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Takao Someya 1, Hiroshi Kawaguchi 2, Takayasu Sakurai 3 1 School of Engineering, University of Tokyo, Tokyo, JAPAN 2 Institute

More information

Simulation of a Micro-Scale Out-of-plane Compliant Mechanism

Simulation of a Micro-Scale Out-of-plane Compliant Mechanism Simulation of a Micro-Scale Out-of-plane Compliant Mechanism by Arpys Arevalo PhD Candidate in Electrical Engineering Computer, Electrical and Mathematical Sciences and Engineering (CEMSE) King Abdullah

More information

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers Claudio Truzzi, PhD Chief Technology Officer Alchimer Overview Introduction Electrografting (eg) Technology Description

More information

Enhanced performance of microbolometer. using coupled feed horn antenna

Enhanced performance of microbolometer. using coupled feed horn antenna Enhanced performance of microbolometer using coupled feed horn antenna Kuntae Kim*,a, Jong-Yeon Park*, Ho-Kwan Kang*, Jong-oh Park*, Sung Moon*, Jung-ho Park a * Korea Institute of Science and Technology,

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

An Investigation on NEG Thick Film for Vacuum packaging of MEMS

An Investigation on NEG Thick Film for Vacuum packaging of MEMS An Investigation on NEG Thick Film for Vacuum packaging of MEMS Y.F. Jin* 1,3, Z.P. Wang 1, L. Zhao 2, P.C. Lim 1, J. Wei 1 1) Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, Singapore

More information

AC : MEMS FABRICATION AS A MULTIDISCIPLINARY LABORATORY

AC : MEMS FABRICATION AS A MULTIDISCIPLINARY LABORATORY AC 2007-524: MEMS FABRICATION AS A MULTIDISCIPLINARY LABORATORY Todd Kaiser, Montana State University Andrew Lingley, Montana State University Matt Leone, Montana State University Brad Pierson, Montana

More information

Fabrication and performance of d 33 -mode lead-zirconate-titanate (PZT) MEMS accelerometers

Fabrication and performance of d 33 -mode lead-zirconate-titanate (PZT) MEMS accelerometers Fabrication and performance of d 33 -mode lead-zirconate-titanate (PZT) MEMS accelerometers H. G. Yu, R. Wolf*,K. Deng +,L.Zou +, S. Tadigadapa and S. Trolier-McKinstry* Department of Electrical Engineering,

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle SUPPORTING INFORMATION The general fabrication process is illustrated in Figure 1. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle of 0.1. The Si was covered with

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Institute of Physics Publishing Journal of Physics: Conference Series 34 (2006) 1038 1043 doi:10.1088/1742-6596/34/1/172 International MEMS Conference 2006 Characterisation of anisotropic etching in KOH

More information