BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

Size: px
Start display at page:

Download "BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER"

Transcription

1 BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER Srinivasulu Korrapati B.E., Anna University, India, 2005 PROJECT Submitted in partial satisfaction of the requirements for the degree of MASTER OF SCIENCE in ELECTRICAL AND ELECTRONIC ENGINEERING at CALIFORNIA STATE UNIVERSITY, SACRAMENTO FALL 2009

2 BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER A Project by Srinivasulu Korrapati Approved by: Cynthia Colinge, Ph.D., Committee Chair Sue Holl, Ph.D., Second Reader Date ii

3 Student: Srinivasulu Korrapati I certify that this student has met the requirements for format contained in the University format manual, and that this Project is suitable for shelving in the Library and credit is to be awarded for the Project., Graduate Coordinator Preetham B. Kumar, Ph.D. Date Department of Electrical and Electronic Engineering iii

4 Abstract of BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER by Srinivasulu Korrapati The purpose of this project was to optimize the bonding parameters to develop an adhesive wafer bonding process that produces a defect free and relatively strong bond using SU-8 as an intermediate adhesive layer at the lowest possible processing temperature. There are a variety of bonding parameters that have a significant impact on void formation at the bond interface, defect density and the bond strength. The influence of several bonding parameters on the resulting bond quality was studied to identify the Key Process Input Variables of soft bake temperature, UV exposure dose and bonding temperature. Three different values were chosen for each process variable and the bonding process was repeated in random order for all possible combinations of process variables. The quality of a wafer bond is determined by the fraction of interfacial area in intimate contact and the strength of the bond interaction. Therefore, the void area and fracture strength of each bonded sample was considered as Key Process Output Variables. Bond characterization, which involves bond interface imaging to detect defects and measure void area, and tensile load testing to calculate the fracture strength, was done on all the bonded wafer pairs. All the bonded wafers were scanned using a Sonoscan iv

5 Scanning Acoustic Microscope (SAM) and C-Scan images were used to determine void area. The six inch bonded wafers were diced into 5mm X 5mm size test specimens and each was scanned using SAM to calculate the interface bond area. Tensile load testing was done on the diced test specimens of each bonded pair to calculate the fracture strength. A general linear statistical model was developed to perform analysis of variance to determine the impact of the process input variables soft bake temperature, exposure dose and bonding temperature on the process output variables void area and fracture strength. The bonding parameters soft bake temperature, exposure dose and bonding temperature were successfully optimized to develop an adhesive wafer bonding process that can produce a defect free and strong bond using SU-8 as an intermediate adhesive layer at the optimum conditions of 90 o C soft bake temperature, 100 mj/cm 2 exposure doses and 115 o C bonding temperature. Cynthia Colinge, Ph.D., Committee Chair Date v

6 ACKNOWLEDGEMENTS Behind every achievement lies an unfathomable sea of gratitude to those who actuated it, without whom it would ever come into existence. It is in deep sense of gratitude and honor, I would like to express my appreciation to Dr. Cynthia Colinge for being the chairman of this project committee and for her exemplary guidance, monitoring and constant encouragement throughout the course of this project. I am also very indebted to Prof. Sue Holl for her deep insight on this research work and patiently reviewing my work. I would also like to express my gratitude to my parents who have been a great inspiration in my life and all those friends who implicitly or explicitly helped in the success of this project with their constructive criticism and encouragement. vi

7 TABLE OF CONTENTS Page Acknowledgements... vi List of Tables. ix List of Figures... x Chapter 1. INTRODUCTION 1 2. OVERVIEW OF ADHESIVE WAFER BONDING Direct Bonding Indirect Bonding Bonding Mechanism Polymer Adhesives for Wafer Bonding Hardening of Polymers Properties of Polymers Bonding Parameters Advantages and Disadvantages of Adhesive Wafer Bonding EXPERIMENTAL PROCESS Material Used Equipment and Tools Key Process Input Variables Experimental Procedure BOND CHARACTERIZATION. 35 vii

8 4.1 Bond Interface Imaging Bond Strength Measurements Dicing Tensile Testing STATISTICAL ANALYSIS General Linear Model Analysis of Variance for Void Area, using Adjusted SS for Tests Analysis of Variance for Fracture Strength, using Adjusted SS for Tests Results Analysis CONCLUSION AND FUTURE DEVELOPMENTS References 63 viii

9 LIST OF TABLES Page Table 3.1 Soft Bake Times Table 3.2 Exposure Dose Table 3.3 Exposure Doses for Various Substrates 28 Table 4.1 Void area percentage of each bonded pair 39 Table 4.2 Taken fracture strength values of each bonded pair Table 5.1 General linear model for the experiment Table 5.2 Analysis of Variance for void area Table 5.3 Least Squares Mean for void area with single factor Table 5.4 Least Squares Mean for void area with two variable factors Table 5.5 Analysis of Variance for fracture strength (MPa) 53 Table 5.6 Least Squares Mean for fracture strength (MPa) with single factor 54 Table 5.7 Least Squares Mean for fracture strength (MPa) with two variable factors 57 ix

10 LIST OF FIGURES Figure 3.1 Process flow of the experiment.. 18 Figure 3.2 SEMITOOL 870F dual stack Spin-rinse-dryer (SRD) Page Figure 3.3 POLARIS 2500 Microlithography cluster.. 22 Figure 3.4 SUSS MicroTec MA/BA6 Mask Aligner Figure 3.5 SB6e Semi-Automated Wafer Bonding System. 24 Figure 3.6 Process flow of the Bonding Procedure Figure 3.7 SU Spin Speed vs. Thickness. 30 Figure 4.1 Sonoscan GEN5 Scanning Acoustic Microscope Figure 4.2 C-Mode Interface Scan..37 Figure 4.3 Gate and Focus at the interface to be inspected Figure 4.4 Image of sample bonded at 70 o C Soft bake, 100 mj/cm 2 Exposure energy and annealed at 115 o C Figure 4.5 Image of sample bonded at 90 o C Soft bake, 100 mj/cm 2 Exposure energy and annealed at 90 o C.. 41 Figure 4.6 C-Scan image of test specimens of various samples.. 43 Figure 4.7 Pull Testing measurement setup Figure 4.8 Dage-Series-4000 Multi-Function Bondtester Figure 5.1 Main effects plot for void area Figure 5.2 Interaction plot for void area.. 52 Figure 5.3 Main effects plot for fracture strength (MPa). 55 Figure 5.4 Interaction plot for fracture strength (MPa) x

11 1 Chapter 1 INTRODUCTION Wafer bonding has been a subject of interest for many years because it enables the fabrication of unique substrates for advanced Micro-Electro-Mechanical Systems (MEMS), Micro-Opto-Electro-Mechanical Systems (MOEMS), SOI substrate fabrication, advanced packaging, and fabrication of complex three-dimensional integrated circuits [1]. The adhesive wafer bonding technique uses an intermediate adhesive layer to create a bond between two wafer substrates and hold the surfaces together [5]. Adhesive wafer bonding possesses a number of advantages over other established wafer bonding techniques like direct bonding or anodic bonding and provides the ability to join different type of wafers. The main advantage of using this approach is the ability to produce a defect free and high strength bond in a low temperature processing range. Because of these advantages adhesive bonding is fully compatible with CMOS processing techniques and have made adhesive wafer bonding an important fabrication technique for integrated circuit and MEMS technology [1] [2]. This research focuses on optimizing bonding parameters to develop an adhesive wafer bonding process that produces a defect free and relatively strong bond using SU-8 as an intermediate adhesive layer at the lowest possible processing temperature. The design of the experiment involves two stages: Si wafer-to-wafer bonding using SU-8 and bond characterization to determine the bond quality.

12 2 The wafer-to-wafer bonding stage involves developing a polymer bonding procedure, identifying the Key Process Input Variables (KPIVs), giving three different values for each process variable, and performing the bonding process for all possible combinations of process variables. Several different polymers and epoxy materials have been used as an intermediate layer in polymer bonding. The choice of adhesive material has the most significant influence on the resulting bond quality [3]. SU , a MicroChem epoxy based negative photoresist was chosen as the adhesive material as it offers very good material properties such as high mechanical strength, creep strength, thermal stability, chemical stability and good adhesion on wafer substrates [4]. In order to achieve good bond quality the bonding process and the bonding parameters must be precisely controlled. In the polymer bonding procedure, there are a variety of bonding parameters such as wafer material, wafer surface topography, wafer thickness, polymer adhesive, polymerization level, polymer-curing conditions, bonding pressure, bonding force, bonding temperature and atmospheric conditions in the bonding chamber [1]. These parameters have a significant impact on void formation at the bond interface, defect density and the bond strength. The influence of several bonding parameters on the resulting bond quality has been studied to identify the KPIVs. The following bonding parameters, 1) soft bake temperature, 2) exposure dose, and 3) bonding temperature were chosen as the KPIVs because they were expected to have very high influence on the resulting bond quality. The values of each of the KPIVs have been optimized to perform

13 3 all of the bonds using the same bonding procedure. Three different values were chosen for each process variable and the bonding process was repeated in random order for all possible combinations of process variables. Bond characterization involves bond interface imaging to detect unbonded areas and defects. The tensile strength measurement is used to calculate the strength of bond interaction. There are destructive and non-destructive techniques to characterize a bond interface. The debonded areas and void formation at the bond interface reduces bond yield and bond strength. The quality of a wafer bond is determined by the fraction of interfacial area in intimate contact and the strength of the bond interaction [8]. Therefore, void area at the bond interface and bond strength has been considered as Key Process Output Variables (KPOVs). Among the common non-destructive techniques, acoustic microscopy based upon the acoustic wave propagation through solid-state materials is the most wide spread method used to detect voids, delaminations and defects at the bond interface [1] [8]. A Sonoscan Scanning Acoustic Microscope (SAM) was used for bond interface imaging. The knowledge of bond strength is essential for optimization of the bonding procedure the most popular method to measure bond strength is tensile load test [2]. The specimen under test is pulled apart using the testing equipment while measuring the tensile load necessary for destroying the bond. Tensile force at the time of fracture divided by the bond area of the sample is the tensile strength [1]. The process output variables void area and fracture strength were measured for each wafer pair. The statistical analysis was performed on input and output process variables

14 4 to determine the impact of bonding parameters on the bond quality. The result of this research will be an optimal low temperature adhesive bonding process that produces a void free and relatively strong bond using SU-8 as an intermediate adhesive layer.

15 5 Chapter 2 OVERVIEW OFADHESIVE WAFER BONDING Introduction: Bonding two substrates or wafers together has been increasingly an important technology in fabrication and processing of Micro-Electro-Mechanical Systems (MEMS). It has applications found in enabling the fabrication of unique type of substrates, extending functionality of integrated circuitry and a variety of processes including making SOI wafers [1]. The wide variety of wafer level bonding techniques include direct bonding, anodic bonding, solder bonding, eutectic bonding, thermo compression bonding, direct metal-to metal bonding, ultrasonic bonding, low-temperature melting glass bonding and adhesive bonding. This wide variety of wafer bonding techniques can be divided into two categories: 1) direct bonding and 2) indirect bonding. 2.1 Direct Bonding: In direct bonding, Wafers are directly bonded without the assistance of any significant pressure or intermediate layers or electric fields and the attraction between the two bodies is primarily through hydrogen bonding or van der Waals forces [7]. Direct wafer bonding typically involves wafer surface preparation and cleaning, room temperature contacting of the wafers and puts very high demands on the flat wafer surfaces to prevent voids at the bonding interface, to achieve reliable and high yield bonds [1]. Direct bonding provides high bond strength however high temperature annealing is required to increase

16 6 the adhesion up to the cohesive strength of the materials concerned [7]. High temperature anneal temperatures may damage metallization layers [5] and cause considerable stress at the bonding interface and in the bonded materials which limits the application to materials without devices or materials with similar coefficient of thermal expansion [7]. The necessity of high quality smooth and flat interfaces and the high temperature anneal are the major drawbacks of this method. 2.2 Indirect Bonding: The most common among indirect bonding methods are anodic bonding, eutectic bonding, low temperature molten glass bonding and adhesive bonding [6]. Compared to other indirect bonding techniques, adhesive wafer bonding has widespread applicability as it is a simple, robust, and low cost process [1]. Adhesive bonding is a low temperature process which utilizes an intermediate layer as an adhesive material to create a bond between two substrates to hold them together; typically, polymers are used so this process is also termed polymer bonding. Adhesive bonding requires no electric power and there is no limitation of substrate material. Adhesive Wafer Bonding: For adhesive wafer bonding, one or both of the wafer substrates to be bonded are coated with polymer adhesives and the polymer adhesive layer bears the forces that hold the surfaces together. After joining the two adhesive coated wafer surfaces, pressure is

17 7 applied to bring the wafer surfaces into intimate contact and the atoms and molecules spontaneously adhere to each other producing a relatively strong and void free bond. In the bonding process the polymer adhesive is converted from a liquid or viscoelastic state to a solid state. Typically this is done by exposing the polymer adhesive to heat or a UV light source and the elastic property of the adhesive helps to reduce stress [1]. Adhesive wafer bonding is insensitive to surface topography and does not require special wafer surface treatments such as planarization and excessive cleaning [1]. The standard cleaning process was sufficient to remove contaminants from the silicon wafers and the elastic property of the polymer adhesive can tolerate and compensate to some extent for defective structures and particles at the wafer surface. In adhesive wafer bonding, the polymer adhesive has significant influence on defect formation and the amount of voids at the bond interface, which affects the bond strength. 2.3 Bonding Mechanism: The basic principle that all bonding techniques have in common is that when two solids with well-polished flat surfaces are brought into sufficiently close contact they spontaneously adhere to each other. When brought to a distance of less than 0.5 nm adhesions of atoms and molecules in two solid materials are attached by four basic bond types [1], which are 1. Covalent bonds 2. Van der Waals bonds

18 8 3. Metallic bonds 4. Ionic bonds In most of the wafer bonding, techniques covalent and van der Waals bonds are predominant, whereas metallic bonds occur only in metals and ionic bonds occur in ionic materials [1]. All bonding techniques use one of the above bonding mechanisms and the resulting bond strength depends on the properties of the surface materials and the distance between the atoms of the surfaces [1]. For adhesive wafer bonding, one or both of the wafer substrates to be bonded are coated with polymer adhesives and the intermediate polymer adhesive layer hold the surfaces together. The polymer adhesive applied to the material surfaces are typically in liquid or viscoelastic state and deforms to fit the wafer surface to be bonded. The applied adhesive flows into the troughs of the wafer surface and must be hardened by thermal curing processes such as heating and exposing to UV light source to convert the adhesive from the liquid or viscoelastic phase to a solid material that is capable of holding the substrates together [1]. The adhesive bond strength can be determined by the wetting of a wafer surface by the polymer adhesive. Cohesive force between the atoms and molecules of the material influences the surface energy and for wetting to occur, the wafer substrate must have higher surface energy than the adhesive [1]. The dust particles and contaminants on the wafer surface affects the wettability of a surface. Proper cleaning methods are required to obtain dust and contaminant free wafer surfaces and adhesion promoters are often

19 9 recommended to improve wettability of a surface [1]. Unfilled space between the adhesive and material surface at the bonding interface provides space for small water or gas molecules to creep in, which results in void formation. Better filling of the surface imperfections with polymer adhesive reduces opportunity for void formation and produces long-term stable and strong bond [1]. 2.4 Polymer Adhesives for Wafer Bonding: In adhesive wafer bonding the polymer adhesive material has the most significant influence on the resulting bond quality [3]. Several aspects have to be considered to select a polymer adhesive suitable for specific wafer bonding application. Polymers are large molecules composed of repeated small building block mer molecules connected by covalent bonds [1]. Several varieties of polymer adhesives can be classified into four categories [1] 1. Thermoplastics 2. Thermosetting 3. Elastomers 4. Hybrid polymers Polymer adhesives that are widely used as an intermediate material in adhesive wafer bonding applications are thermosetting polymers like benzocyclobutene (BCB), SU-8, and thermoplastic adhesives like Polymethylmethacrylate (PMMA), copolymers and waxes [1].

20 10 Thermosetting polymers are widely used in the manufacturing sector due to their rapid curing time, thermal stability, mechanical strength, creep strength, and ability to achieve good bond strength with lowest possible annealing temperatures [1]. Deposition of Polymer Adhesive on wafer surfaces: The coating methods used to deposit polymer adhesives on the wafer surfaces includes spin coating, stamping, screen-printing, brushing, electro deposition, dispensing, and spray coating [1]. Most adhesive wafer bonding applications in industrial processes require uniform coatings with accurate and reproducable thickness. Spin coating of a liquid polymer on the wafer surface is the most common method used in the microelectronic and MEMS fabrication industry to achieve uniform thickness of intermediate layer. In adhesive wafer bonding, one or both of the wafer substrates to be bonded are spin coated with polymer adhesives. The thickness of the resulting intermediate layer depends on the spin speed and the viscosity of the polymer. The applied adhesive flows in to the troughs of the wafer surface and is then harden by exposing to heat or a UV light source to convert the material from a liquid or viscoelastic phase to a solid[1]. The intermediate adhesive layer also acts as a leveling layer, thus lowering the demands for special wafer surface treatments such as planarization and extensive cleaning. Adhesion promoters are often recommended to enhance adhesion between polymer adhesives and material surfaces [1].

21 Hardening of Polymers: During the deposition of polymer on the wafer surfaces, the adhesives must be in either a liquid or viscoelastic state. The adhesive must be hardened to achieve a long-term stable and strong bond [1]. Several methods that are commonly used to harden polymer adhesives in the semiconductor industry are drying (evaporation of solvents), twocomponent curing, UV light curing, thermal curing, and the combination of drying together with UV light curing or thermal curing [1]. In the drying method, after the wafers are joined, volatile substances can be trapped as voids at the bonded interface and weaken the bond. Therefore, polymer adhesives that require drying of volatile substances (evaporation of solvents) during the hardening process are not suitable for adhesive wafer bonding applications. For thermosetting materials, thermal or UV light curing are used and solvents are evaporated before or during the curing process and the hardening process can be intensified by the additional heat treatment to achieve good bond strength [1]. 2.6 Properties of Polymers: Several physical properties such as thermal stability, mechanical stability, chemical stability, creep strength, and aging effects must be considered when choosing a polymer adhesive for specific wafer bonding applications. Polymer adhesives having low viscosity and low shrinkage properties achieve better filling of the troughs of a wafer

22 12 surface profile reducing the amount of unfilled space at the bonding interface and stronger bonds [1]. The following are important properties of polymer adhesives that vary widely for different types of polymer adhesives Cohesive force: Cohesive forces between the atoms and molecules of the same material are correlated to the magnitude of the surface energy; polymer adhesives with excellent cohesive properties adhere well to wide varieties of material surfaces [1]. Glass transition temperature: The temperature at which the polymers undergo a transition from a brittle, glassy state to a rubberlike viscoelastic state is the glass transition temperature. Generally, during the deposition of polymer on the wafer surfaces, adhesives must exist in liquid or viscoelastic state. The applied adhesives are hardened to achieve durable and strong bond [1]. Creep Strength: All polymers experience creep when subjected to load and significant high temperatures due to the viscoelastic effect. The creep strength influences the load bearing capacity of polymer materials [1].

23 13 Tensile strength: Tensile strength is the maximum amount of tensile stress that a polymer material can sustain before rupture. To achieve long-term stable bonds the polymer material should have good tensile strength. Co-efficient of thermal expansion: When a particular material is heated, the stored energy inside the material is increased, and the length of the molecular bond increases. Therefore, in general, solids expand on heating and contract on cooling. Some substances have negative coefficients of thermal expansion and will expand when cooled. Polymer bonding of dissimilar materials with different coefficients of thermal expansion must be carefully selected because when the bond is created at high temperatures the material with higher CTE expands more and consequently contracts more during cooling to room temperature [1]. The difference in CTE causes stress at the bonding interface which may result in bending and cracking of wafers [1]. 2.7 Bonding Parameters: In the polymer bonding procedure, there are a variety of bonding parameters such as wafer material, wafer surface topography, wafer thickness, polymer adhesive, polymerization level, polymer-curing conditions, bonding pressure, bonding force, bonding temperature and atmospheric conditions in the bonding chamber. These

24 14 parameters have a significant impact on void formation at the bond interface, defect density and the resulting bond quality [1]. Polymer adhesive and Wafer materials: The choice of adhesive material and compatibility of the wafer material with the bonding process has a significant influence on the bond quality. For adhesive wafer bonding, the polymer adhesive acts as an intermediate layer and bear the forces involved to hold the wafer surfaces together. The adhesive must provide sufficient wetting of the wafer surfaces and then transforms from a liquid or viscoelastic state to a solid phase during the bonding process. The adhesive material should possess good thermal stability, mechanical strength, creep strength and bond strength and all must be developed at the lowest possible processing temperature [1]. Size and amount of the particles at the wafer surface: A particle free surface is important to obtain the maximum process reliability and good bonding results. Contaminants on the surface affect the wettability of the surface and may result in poor quality bond. Dust particles larger than the thickness of the polymer on the wafer surface may cause unbonded areas and bonding defects. In order to achieve good quality bonding the wafer surface must be free of dust particles, contaminants and should be dried prior to applying adhesive material.

25 15 Soft bake temperature: In order to produce a void free bond interface and strong bond, it is important that volatile substances such as solvents or water are not created during the hardening process. The volatile substances evolved from the intermediate adhesive layer during the curing process can be trapped as voids and deteriorate the bond interface [1]. Soft bake is what the pre-curing process done on the adhesive coated wafers is called; the soft bake process is done to evaporate the volatile substances or unwanted gases that are present on the wafer. The soft bake temperature and time combination has a very high influence on the resulting bond quality. Exposure Dose: In the polymer bonding process, the polymer adhesive must transform from a liquid or viscoelastic state to a solid state to achieve long-term stable and strong bonds. The polymer adhesive used in the bonding process is a thermosetting material. The curing in the bonding process is initiated by exposing the adhesive coated wafer surface to a UV light source. The wavelength of the UV radiation, the exposure energy, and the exposure time has significant influence on hardening and polymerization of polymers. Bonding Pressure/Force: For the adhesive wafer bonding process, the two adhesive coated wafers are superimposed in a sandwich configuration, aligned, and pressed against each other by applying pressure. The bonding pressure increases the deformation of the intermediate

26 16 adhesive and the wafers and brings the surfaces into intimate contact to achieve a strong and void free bond. Excessive bonding pressure may crack the wafers. Bonding Temperature: Typically, the hardening process triggered by UV light exposure is augmented by the additional heat treatment process known as curing [1]. This final curing process is necessary to achieve the optimum mechanical and chemical properties of the adhesive [4]. Annealing may increase the adhesion of the material up to the maximum cohesive strength of the materials. If the coefficient of thermal expansion difference of the wafer material and polymer adhesive material is high, large stresses can occur at the bonded interface if the annealing temperature is high. The bonding temperature and conditions has a very high impact on the strength of the polymer adhesive and on the resulting bond quality. Atmospheric conditions in the bonding chamber: The wafers joined at atmospheric pressure have a chance of gases or small molecules being trapped at the bond interface. The trapped gases or bubbles may results in void formation, unbonded areas and the final bond quality. The pressure in the bonding chamber must be sufficient to prevent small water or gas molecules from being trapped at the bond interface [1].

27 Advantages and Disadvantages of Adhesive Wafer Bonding: Some advantages of adhesive wafer bonding are Many dissimilar materials can be joined. Good bonding strength can be achieved at temperatures lower than 100 C and therefore it is compatible with CMOS device processes. Polymer bonding is fast, inexpensive and results in good bond strength. Planarization properties of the adhesives compensate surface non-uniformities. Elastic properties of polymers enable the reduction of stress in bonded wafers. Compatibility of polymers with clean room processing. Simple, robust, and low cost process. Polymers show relatively good adhesion to most materials used in MEMS and Microelectronics production. Disadvantages of adhesive wafer bonding are Polymer curing process often generates voids results in non-uniform bonding. Limited temperature range for stability for polymers (the materials are inclined to degrade due to moisture intake, temperature, radiation, mechanical and chemical impacts). Limited long-term stability of most adhesives

28 18 Chapter 3 EXPERIMENTAL PROCESS The purpose of this project is to optimize bond strength in an adhesive wafer bonding process producing a defect free and strong bond using SU-8 an epoxy based negative photoresist as an intermediate adhesive layer with the lowest possible processing temperature. The design of the experiment involves indirect Si wafer bonding using SU-8 as the intermediate adhesive, bond interface imaging, dicing, tensile strength measurement, and statistical analysis on input and output process variables. Figure 3.1 shows the experimental process flow. Si wafer- to- wafer bonding using SU-8 Wafer pairs are scanned using SAM to detect defects and unbounded areas Test specimens of 5mm X 5mm size were diced from 6in-bonded pair. Tensile load necessary to destroy the bond is measured To determine the impact of process input Variables on output variables Figure 3.1 Process flow of the experiment

29 Materials Used: Six inch p-type SOI wafers with a thickness of 675 µm were used in the experiment. A high contrast epoxy based photoresist SU from MicroChem was used as the adhesive material. SU-8 is a fast drying resist and a film thickness of 0.5 to >200 microns can be achieved with a single coat process. The thickness of the resist depends on the spin conditions and type of SU-8 resist. SU resists are available in twelve standard viscosities. The resist used in the bonding process is SU SU-8 is a negative photosensitive material and the curing process is triggered by exposing the adhesive coated wafer surface with conventional UV radiation at nm wavelengths [7]. A normal wafer bonding process flow using SU-8 as adhesive material includes the following steps: 1. Cleaning and drying of Si wafers. 2. Deposition of resist by spin coating on Si wafers. 3. Pre-curing the coated wafers to evaporate the solvents. 4. Exposure with a standard UV Mask Aligner. 5. Joining the two wafers in a vacuum environment by applying pressure. 6. Curing the polymer with temperature ramping while applying pressure to assure the resist get its final mechanical strength and chemical stability..

30 Equipment and Tools: The wafer processing equipment and tools used in the bonding procedure are Spin-rinsedryer (SRD), Microlithography cluster, Mask Aligner and wafer bonder. SEMITOOL 870F SRD: Particle free surfaces are key to obtaining maximum process reliability and good bonding results. Silicon wafers should be clean and dry prior to applying SU resist. A Semitool 870F dual stack Spin-rinse-dryer (SRD) capable of processing up to 6"/150mm wafers was used for cleaning, rinsing, and drying the silicon wafers. It spins the wafers and uses de-ionized water and nitrogen to clean and dry the wafers [9]. A Semitool 870F dual stack Spin-rinse-dryer (SRD) is shown in figure 3.2. Figure 3.2 SEMITOOL 870F dual stack Spin-rinse-dryer (SRD) [9]

31 21 SRD is capable of processing a 25-wafer batch and typically takes less than 7 minutes for a complete cycle of load, rinse, spin dry, and unload. The spray - on-axis orientation keeps the wafers parallel, allowing the fresh DI water manifolds to spray both sides of the product uniformly. Built-in resistivity monitoring assures an automatic and reliable clean. Drying is a centrifugal process coupled with heated, filtered nitrogen and a low-pressure nitrogen purge with blanket heaters [9]. POLARIS 2500 Microlithography cluster: The clean and dried wafers are ready for polymer adhesive coating followed by precuring. The resist coating and pre-curing process were carried out using the POLARIS Microlithography cluster. The POLARIS Microlithography Cluster is resist processing equipment widely used by microelectronic device manufacturers for wafer level bumping, thin film head, MEMS, GaAs and biomedical applications. The Microlithography cluster contains optimal number of coat, bake, chill and develop stations as determined by using throughput modeling techniques for specific recipes. The cluster robot and advanced scheduler provide true parallel processing and lot cascading, and can process multiple wafer sizes on the same system with no hardware or software changes. The cluster spin coats the polymer adhesive uniformly throughout the wafer surface and is capable of soft baking the coated SU-8 at different temperatures using different recipes [10].

32 22 Figure 3.3 POLARIS 2500 Microlithography cluster [10] SUSS MicroTec MA/BA6 Mask Aligner: The SU-8 coated wafers are partially polymerized by the thermal curing process. SU photoresist is most commonly exposed with conventional UV radiation at nm wavelengths (I-line 365nm is the recommended wavelength) [7]. The exposure dose will vary for different thickness and SU-8 viscosities. Exposure of the coated wafers is done by means of a SUSS MicroTec MA/BA6 Mask Aligner. MA/BA6 provides flexibility as a mask aligner and/or bond aligner and is capable of exposing wafers with up to 6 in diameter and maximum thickness of 3mm [11]. It is designed for all standard lithography applications and is exclusively intended for use as an alignment and/or

33 23 exposure device for substrates used in semiconductor and microsystems technology. The MA/BA6 Mask Aligner offers special qualities [11] Bond Alignment, Near Field Holography, UV-Curing processes, UV-Nano Imprint Lithography (NIL). Figure 3.4 SUSS MicroTec MA/BA6 Mask Aligner [11] The MA6 can handle different exposure modes such as proximity, soft and hard contacts, low vacuum and vacuum depending on the wavelength over a wide range of UV curing

34 24 wavelengths; UV (250nm-450nm), I-line (365nm) and G-line (436nm) are available. Depending on the exposure program selected all program steps can be performed automatically. High intensity light sources reduce process time and the UV exposure optics of the MA6 is capable of exposing the whole wafer in a single shot [11]. SUSS MicroTec SB6e Semi-Automated Wafer Bonding System: Bonding is the final step in the processing sequence in which the partially polymerized wafer substrates are joined together in a vacuum environment by applying pressure. The Suss MicroTec SB6e wafer-bonding tool is used for the bonding process. The SB6e wafer bonder is a semi-automatic, computer controlled system with both vacuum and pressure controlled atmosphere capability featuring an ergonomic load/unload station accommodating 150mm wafers [12]. Figure 3.5 SB6e Semi-Automated Wafer Bonding System [12]

35 25 The bond chamber and tooling supports all types of bond processes with optimization especially for thermo compression bonding. The bond chamber supports both vacuum and pressurized ambient up to 3 bar and has a unique feedback control system to insure that the bond pressure is consistent independent of the chamber pressure. Temperature control is often critical to achieving consistently high bond yields and the independent temperature control of top and bottom wafers compensates for different thermal expansion coefficients and provides optimized bond quality [12]. 3.3 Key Process Input Variables: In the polymer bonding procedure, there are a variety of bonding parameters such as the wafer material, wafer surface topography, wafer thickness, polymer adhesive, polymerization level, polymer-curing conditions, bonding pressure, bonding force, bonding temperature and atmospheric conditions in the bonder chamber. These parameters have a significant impact on void formation at the bond interface, defect density and the bond strength. The influence of several bonding parameters on the resulting bond quality has been studied to identify the KPIVs. The particular bonding parameters chosen as the KPIVs weresoft bake temperature, exposure dose, and annealing because they were expected to have very high influence on the resulting bond quality. The specific values of KPIVs have been optimized to perform a variety of bonds using the same bonding procedure.

36 26 Soft bake temperature: Soft bake is the pre-curing process done on the adhesive coated wafers to evaporate the volatile substances or unwanted gases that are present on the wafer. The baking times/conditions depend upon the polymer adhesive material and the thickness of the adhesive on the wafer surface. Table 3.1 shows the recommended soft bake temperatures and times for various SU products at the selected film thickness [7]. From Table 3.1 the recommended soft bake time for adhesive thickness of μm is 95 o C. For the particular bonding process studied, the desirable thickness of the SU coated on the wafer surface is 0.5 μm. In the design of the experiment three different baking times/temperatures 70 o sec, 90 o sec and 95 o 300 sec are assumed to optimize the soft bake temperature and time. Table 3.1 Soft Bake Times [7]

37 27 Exposure Dose: Epoxy hardening through cross-linking in the adhesive wafer bonding process is initiated with UV exposure. The wavelength of UV radiation, the exposure energy, and exposure time have significant impact on hardening and polymerization of polymers. The SU photoresist is most commonly exposed with UV radiation at the recommended wavelength of 365nm [7]. The exposure dose will vary for different thickness and SU-8 viscosities. Table 3.2 shows the recommended exposure energy for various SU products at the selected film thickness. The exposure doses for various wafer substrates are shown in Table 3.3. Table 3.2 Exposure Dose [7] The exposure dose for Si substrate must be 1X and then from Table 3.2 for SU-8 adhesive thickness of μm the exposure energy should be approximately mj/cm2 [7]. This will require 6 to 8 seconds exposure at 10 mw/cm 2, which is the

38 28 intensity setting for the aligner. An increase in exposure time of approximately 40% is recommended to reach the optimum exposure dose. The exposure times of 5, 10 and 15 seconds at 10 mw/cm 2 lamp intensity were used to produce exposure energies of 50 mj/cm 2, 100 mj/cm 2 and 150 mj/cm 2 respectively. Table 3.3 Exposure Doses for Various Substrates [7] Bonding Temperature: The final curing process is necessary to achieve good mechanical and chemical properties of the resist. Depending on the degree of cure desired a bake temperature in the range of 150 o C to 250 o C and for a time between 5 and 30 minutes is recommended for SU resist of all thicknesses. SU is a thermosetting polymer, its properties can continue to change at higher temperature, and the final cure step ensures that resist properties do not change in actual use. It is important to attain good bond strength at the

39 29 lowest possible temperature. The bonding temperatures of 90 o C, 115 o C and 140 o C for a time of 30 minutes are selected to test optimizing the curing process. 3.4 Experimental Procedure: The adhesive wafer bonding process using SU-8 as an intermediate material is described below. The process flow of adhesive wafer bonding procedure is shown in Figure 3.6. To remove contaminants and dust particles Polymer adhesive Su-8 is spin coated on two wafer surfaces Pre-curing step to remove solvents Coated wafers are exposed to UV radiation of 365nm wavelength Two wafers are superimposed and pressed against each other in wafer bonder with a contact force of 1KN Additional heat treatment to enhance adhesion Figure 3.6 Process flow of the Bonding Procedure

40 30 Cleaning: The cleaning process was conducted to remove contaminants and particles from the silicon wafers. The clean wafers should be dried and free from moisture before applying adhesive. A SEMITOOL 870F dual stack spin-rinse-dryer (SRD) capable of processing up to 6"/150mm wafers was used for cleaning, rinsing, and drying the silicon wafers. It spins the wafers and uses de-ionized water and nitrogen to clean and dry the wafers. Spray - on-axis orientation keeps wafers parallel, allowing fresh DI water manifolds to spray both sides of the product uniformly [9]. Drying is a centrifugal process coupled with heated, filtered nitrogen and a low-pressure nitrogen purge with blanket heaters. The SRD typically takes less than 7 minutes for a complete cycle of load, rinse, spin dry, and unload [9]. SU-8 Coating: Figure 3.7 SU Spin Speed vs. Thickness [7]

41 31 The dried Si wafers were ready for adhesive coating. SU resists are available in twelve standard viscosities and a film thickness of 0.5 to >200 microns can be achieved with a single coat process [7]. The thickness of the resist depends on the spin conditions and type of SU-8 resist. The resist used in the bonding process was SU Resist processing equipment A POLARIS microlithography cluster was used for spin coating the resist on the wafer surface. The thickness of the resulting resist layer depends on the spin conditions [10]. The spin speed curve of SU resist provided by the manufacturer is shown in Figure 3.7. The microlithography cluster was prepared to spin at 2500 rpm for 30 seconds with acceleration of 300 rpm/second to obtain the desired film thickness of 0.5 μm. The cluster spin coats the polymer adhesive uniformly on the wafer surface and is capable of soft baking the coated SU-8 at different temperatures following the sequence of steps defined in different recipes. Soft Bake: A soft bake is done on the adhesive coated Si wafers to evaporate volatile substances or unwanted gases that are present on the wafer. The POLARIS microlithography cluster used for spin coating the SU-8 resist completed the soft baking process after the adhesive coating. Recipes prepared for the microlithography cluster include both the spin coating and soft baking sequence. In the experiment three different baking times/temperatures: 70 o sec, 90 o sec and 95 o 300 sec were tested to optimize the soft bake

42 32 temperature and time. Three different recipes were prepared with the same coating process and different baking times/temperatures. A number of bonded samples were prepared using different baking times/temperatures. Exposure: The soft baked SU-8 coated Si wafers were exposed to UV radiation of 365nm wavelength to initiate the curing process. Exposure of the coated wafers was done by means of a SUSS MicroTec MA/BA6 Mask Aligner. The mask aligner is capable of exposing wafers up to 6 in diameter. The exposure lamp intensity of the aligner was set at 10 mw/cm 2 and the intensity value was constant throughout the exposure process. The exposure operation includes loading the wafer onto the chuck, exposing the wafer, and unloading the wafer. The wafers to be exposed were placed against prealignment pins on the completely pulled out transport slide such that the flat touches the two alignment pins, which are located close to each other. The wafers are held by vacuum and the slide was moved into the machine. The wafer moved into the exposure position and was exposed. Depending on the exposure program selected, all program steps were performed automatically. After finishing the exposure process the wafer chuck moved down to unload the exposed wafer. The transport slide was pulled out completely to unload the wafer. In this experiment, three different exposure energies were chosen to optimize the exposure dose; they were 50 mj/cm 2, 100 mj/cm 2 and 150 mj/cm 2. In order to achieve the exposure energies 50 mj/cm 2, 100 mj/cm 2 and 150 mj/cm 2, the wafers were exposed to UV light for 5, 10 and

43 33 15 seconds respectively. The exposure operation was repeated on several SU-8 coated and soft baked wafers at different exposure energies to produce a variety of bonds. Bonding: Bonding is the final step in adhesive wafer bonding procedure in which partially polymerized SU-8 coated Si wafer substrates are joined together in a vacuum environment by applying pressure. The bonding process was done in a Suss MicroTec SB6e wafer-bonder. The wafers placed on the transport fixture of the bonder were superimposed in a sandwich configuration and aligned while still separated by the spacers. The transport fixture was moved into the wafer bonder. The SB6e bonder is a semiautomatic computer-controlled wafer bonder and the bonding operation takes place in a sequence of steps as programmed in the recipe. A wafer stack-loading arm simplifies the loading and unloading of aligned wafers into the vacuum system. The wafer stack is placed between the bottom wafer chuck and the bond tool. The wafers were heated at 30 o C for 5 minutes through top and bottom wafer chucks. The vacuum environment in the bonder was maintained at 10-2 mbar. The wafers were brought into contact by removing the spacers between them and the wafer pair was pressed together with the bond tool using a controlled tool pressure of 850 mbar. A force of 1KN was applied and the temperatures of the top and bottom chuck heaters were ramped to the annealing temperature. In this experiment, three different annealing temperatures 90 o C, 115 o C and 140 o C were used to optimize the bonding temperature. For the samples annealed at 90 o C, the top and bottom chuck heaters were ramped up to 90 o C from 30 o C. This

44 34 temperature was maintained for 30 minutes and reduced to 30 o C. The slow temperature ramping and uniform heat distribution is very important to avoid breakage of wafers caused by stress. [4]. A number of adhesive wafer bonded samples were prepared at the three different annealing temperatures. The above bonding process was repeated in random order for all possible combinations of process variables and 27 bonded pairs were prepared. Bond characterization has been performed on all the bonded samples to determine the impact of process variables and to optimize the bonding process.

45 35 Chapter 4 BOND CHARACTERIZATION Knowing the fraction of void area and the bond strength is essential for optimization of the bonding procedure because the quality of bonding is determined by the fraction of interfacial area in intimate contact and the strength of the bond interaction [2][8]. The unbonded areas are generally referred to as voids, delaminations and disbonds. A void in an adhesive wafer bond often means a space in the joint that is free of adhesive material [8]. Particles on the surfaces from the beginning of the bonding process or a volatile substance like gases or water molecules evolved from the intermediate adhesive layer during the curing process can be trapped as voids at the bond interface. The separation between a wafer surface on one side and the adhesive material attached to the other bonding surface on the other side is referred to as delamination [8]. The debonded areas and void formation at the bond interface deteriorates bond yield and bond strength. Bond characterization involves bond interface imaging to detect unbonded areas and defects; fracture strength measurements are made to calculate the strength of bond interaction. A number of destructive and non-destructive techniques can be used to characterize a bond interface. 4.1 Bond Interface Imaging: There are destructive and non-destructive techniques for the detection of unbonded areas and interfacial voids. Infrared transmission, scanning acoustic microscopy (SAM), X-ray

46 36 diffraction topography, and magic mirror topography imaging methods are nondestructive techniques while interface etching and cross section analysis are destructive techniques used for wafer bond characterization [8]. In this experiment, the scanning acoustic microscopy method was used for bond interface imaging. Sonoscan Scanning Acoustic Microscope (SAM): The Acoustic Micro Imaging (AMI) technique based on the acoustic wave propagation through solid-state materials using high frequency ultrasonic energy typically in the range of 5MHz 500 MHz is the most wide spread method used to image inside objects, detect voids, delaminations and defects at the bond interface and characterize materials properties and changes [1][8]. AMI is a non destructive and sensitive inspection technique for bond evaluation. Acoustic microscopy uses an ultrasonic wave that penetrates through most materials like silicon, glass, ceramic and certain metals [13]. Figure 4.1 Sonoscan GEN5 Scanning Acoustic Microscope [13]

47 37 The Sonoscan GEN5 C-SAM that can efficiently scan anything from a single part, to a 300 mm wafer was used in this experiment for bond interface scanning and to search an interface for delaminations and voids. The Sonoscan C-SAM is shown in Figure 4.1. The C-SAM has very high sensitivity even for minor gaps as small as 10nm separation [8]. A wide variety of acoustic imaging modes such as A-Scan, C-Mode, B-Scan, Q-BAM, and T-Scan are available to further analyze and improve the results [13]. The desired imaging mode is selected based upon the orientation of a feature with in a sample. In C-Mode the C-Scan image is created by focusing and collecting the information from a particular plane in the sample [13]. Figure 4.2 show the focus of a transducer on a particular plane. Figure 4.2 C-Mode Interface Scan [13] A piezoelectric transducer generates a pulse of ultrasound which is focused by a lens to a diffraction-limited spot [8]. The smallest defect that can be detected is the minimum transducer spot size. The ultrasound is reflected, scattered, absorbed or blocked by the defects differently than by the surrounding material [13]. The pulse in the acoustic

48 38 microscope generates very low energy in the micro Joule range and is not harmful to even delicate samples. The same piezoelectric transducer is used for generation and detection of ultrasonic waves. The transducer detects the amplitude or phase of the incoming echoes and the gate controls which echo will be imaged. The gate is represented by a set of vertical bars and allows us to create separate surface, interface and back surface images [13]. Figure 4.3 shows the echoes of front, interface and back surfaces with the gate and focus at the interface to be inspected. Figure 4.3 Gate and Focus at the interface to be inspected [13] An ultrasonic transducer at 230MHz was recommended for imaging bonded silicon wafers. Due to the large acoustic impedance mismatch between solid and air, a coupling fluid is required to transmit ultrasound pulses from the transducer to the sample and back [8]. Water is used as coupling agent and the shortest water path is desirable for a better scan. The adhesive bonded sample to be scanned was placed in the water under the transducer. The acoustic image depends upon gate position, gain levels and pixel density. After generating an ultrasound pulse, the transducer was switched into detection mode to

49 39 receive the incoming echoes [8]. The transducer detects the incoming echoes and an echo coming from a particular depth of interest is selected through the gate. The ultrasound reflects more from a bad bond than a good bond; at the interface between solid and void the ultrasonic waves are reflected almost completely. No ultrasound transmits through an air gap. The C-scan image provides information from the pre-selected depth. The scanning process is repeated for all bonded samples and the void area percentage of each bonded pair was measured using the C-Scan image. Table 4.1 shows the void area percentage of each bonded pair prepared with different parametric conditions using the same bonding procedure. Table 4.1 Void area percentage of each bonded pair

50 Figure 4.4 Image of sample bonded at 70 o C Soft bake, 100 mj/cm 2 Exposure energy and annealed at 115 o C 40

51 Figure 4.5 Image of sample bonded at 90 o C Soft bake, 100 mj/cm 2 Exposure energy and annealed at 90 o C 41

52 Bond Strength Measurements: The knowledge of bond strength is essential for bond characterization. Various bond strength measurement techniques such as the crack opening test, blister test or pressure burst test, tensile and shear load measurement, and four-point bending test are available for wafer bond characterization; all of these are destructive techniques [1]. The tensile load measurement is a simple technique and extremely reliable for adhesive bonds. In tensile test method the bonding evaluation is based on stress analysis; the maximum stress the bond can sustain is measured and is characterized as a strength [1]. The tensile load necessary to destroy the bond is measured and the tensile force is divided by the bonded area of the test specimen to determine the tensile strength of the adhesive bond. The bonding strength of all the bonded pairs was measured using tensile load measurement technique. Five test specimens from each bonded sample were diced for bond strength measurement Dicing: The tensile strength measurements were carried out on all 27 bonded samples. The 6 inch bonded wafers were broken into small pieces by hand and 5 test specimens 5mm wide and 5mm long were cut from each bonded sample. Care was taken while breaking the bonded wafers not to induce stress at the bond interface and to minimize the residual damage at the periphery of the test sample [8]. A few bonded pairs that had weak bond strength had bond delamination and shattered during dicing.

53 43 The bonding interface of all test specimens of each bonded sample was scanned using SAM to detect defects and voids. The C-Scan image was used for measuring the adhesive bonded area of each test specimen. Fracture strength measurements were carried out on test specimens of each bonded pair and the scanned image of test specimens of different bonded pairs is shown in Figure 4.6. Figure 4.6 C-Scan image of test specimens of various samples

54 Tensile Testing: Tensile testing is the most popular, simple although destructive technique for measuring the bond strength of adhesive bonds. For tensile strength measurements, the specimen being tested is pulled normal to the bonding interface until the system ruptures [8]. The tensile load necessary to destroy the bond interface divided by the bonded area of the test specimen is used to determine the tensile strength of the adhesive bonded pair. The pull test measurement setup is shown in the Figure 4.7. Figure 4.7 Pull testing measurement setup [2] The Dage-Series-4000 Multi-Function Bondtester capable of meeting all pull and shear applications was used in this experiment. The Dage-Series-4000 Multi-Function Bondtester is shown in Fig 4.8. Epoxy resin was used to glue the test specimen to the test stubs and care was taken to prevent the glue creeping around the edges and covering the sides of the test chip. Test stubs were aligned properly, as small deviations from the surface normal induce a bending moment and can cause early failure of the sample under

55 45 test [8]. Tensile strength measurements were carried out on 5 test specimens of each bonded pair. The tensile force was measured for each test specimen and the bonded area of the test specimen was measured using the C-SAM scanned image. The fracture strength for each test specimen was calculated using the tensile force and bonded area except for few bonded pairs that had delaminated and shattered during dicing. The tensile strength measurement of some of the diced test specimens did not yield an accurate measure of the wafer to wafer adhesive bond strength. The adhesive bond strength was so high that either the test specimen fractured from the test stub at the silicon-stub interface or the silicon surface began to crack. Most of the test specimens exhibited a stronger wafer to wafer adhesive bond than the glue between the test specimen and test stub so only a lower limit of adhesive strength could be measured. Table 4.2 shows the measured fracture strength values. These data were used for statistical analysis of each bonded pair prepared with different parametric conditions using the same bonding procedure. Figure 4.8 Dage-Series-4000 Multi-Function Bondtester [14]

56 Table 4.2 Taken fracture strength values of each bonded pair 46

57 47 Chapter 5 STATISTICAL ANALYSIS Void area and fracture strength are the key process output variables void area measured for each possible combination of process input variables using C-SAM images and the standard tensile testing method. To determine optimum values for the bonding parameters of the adhesive bonding procedure using SU-8 used in this work, it was important to determine which factors have significant effect on the output variables. Statistical analysis includes any technique for modeling and analyzing several variables when the focus is to evaluate relationship between a dependent variable and one or more independent variables. In these experiments the key process input variables were soft bake temperature, UV exposure and bonding temperature. These were the independent variables manipulated to optimize the bonding procedure. Void area and fracture strength were the dependent output variables that were measured. Analysis of variance is an important statistical technique for analyzing the effect of categorical factors on a response. There are several procedures for performing an analysis of variance. They are One-way ANOVA, Multifactor ANOVA, variance component analysis and General linear model [15]. A general linear model is a statistical linear model used when some factors are fixed and some are random and when quantitative factors are to be combined with the categorical ones.

58 General Linear Model: A general linear model was developed for void area percentage or fracture strength versus soft bake temperature, exposure dose and bonding temperature. Factor Type Levels Values Soft bake temperature ( o C) Fixed 3 70,90,95 Exposure dose (mj/cm2) Fixed 3 50,100,150 Bonding Temperature ( o C) Fixed 3 90,115,140 Table 5.1 General linear model for the experiment Analysis of Variance for Void Area, using Adjusted SS for Tests: Table 5.2 Analysis of Variance for void area

59 49 Where DF is the Degree of freedom, SS is Sum of squares, Adj MS is adjusted mean squares, F is the ratio of mean square to mean square error, P is the probability. Table 5.2 shows the results of analysis of variance for void area. In the Table 5.2 the value to notice particularly is P; the higher this value, the lower the impact of the corresponding factor to the output parameter. From the results in Table 5.2 the only parameter to have significant impact on the void area seems to be the soft bake temperature. Least Square Means for void area: Analysis of variance is a statistical test that compares the group means to show the influence of one factor by itself on the output variable void area. Table 5.3 shows the least square means of three different values of bonding parameters: soft bake temperature, exposure dose and bonding temperature. Table 5.3 Least Squares Mean for void area with single factor

60 50 The main effects plots were drawn for void area as a function of three factors using the least square means and are shown in Figure 5.1. Figure 5.1 Main effects plot for void area The main effect plots show the influence of each factor individually on the void area. The best bonds have the lowest void area. The soft bake temperature plot indicates there is an optimum soft bake 70 o C that gives maximum bond area. The exposure dose plot indicates that the maximum bond area can be attained at exposure dose of 50 mj/cm 2. The bonding temperature plot shows good bond area can be achieved at 90 o C and 140 o C.

61 51 To estimate the impact of each process variable on the void area when two factors are crossed, one factor is kept fixed while other two factors are varied. Table 5.4 shows the data from the least square means of evaluating void area keeping one of the three bonding parameters fixed and other two as variables. Table 5.4 Least Squares Mean for void area with two variable factors

62 52 Figure 5.2 Interaction plot for void area The interaction plots for void area are shown in Figure 5.2. The interaction plots show the influence of two factors on void area. Again, the best bonds have the lowest void area. From the plot A it can optimum soft bake temperature 70 o C gives good bond area at exposure dose 50 mj/cm 2. Plot B shows that maximum bond area can be achieved at soft bake temperature 70 o C and bonding temperature 90 o C. Plot C shows better bond

63 53 area can be achieved at the optimum exposure dose 50 mj/cm 2 and the bonding temperature 90 o C. The soft bake temperature 70 o C seems to give similar bond area at all the exposure dose and bonding temperature conditions Analysis of Variance for Fracture Strength, using Adjusted SS for Tests: Table 5.5 Analysis of Variance for fracture strength (MPa) Table 5.5 shows the results of analysis of variance for fracture strength. In the above table the value to monitor is P; the higher this values the lower the impact of the corresponding factor to the output parameter. From the data in Table 5.5 the only

64 54 parameter to have significant impact on the fracture strength seems to be bonding temperature. Least Square means for Fracture strength: The analysis of variance test is used again, comparing the group means to show the influence of one factor on the output variable fracture strength. Table 5.6 shows the least square means for the three different values of bonding parameters: soft bake temperature, exposure dose and bonding temperature. Table 5.6 Least Squares Mean for fracture strength (MPa) with single factor

65 55 The main effects plots were drawn for fracture strength as a function of three factors using the least square means and are shown in the Figure 5.3. Figure 5.3 Main effects plot for fracture strength (MPa) The main effect plots show the influence of each factor individually on the fracture strength. The higher fracture strength indicates a stronger bond. The soft bake

66 56 temperature plot indicates that the maximum bond strength is attained at 90 o C, The exposure dose plot indicates there is an optimum exposure dose of 100 mj/cm 2 that gives the maximum bond strength. Bonding temperature is the only factor showing clear impact on the fracture strength and the strongest bond can be achieved at 140 o C. Table 5.7 shows the data from the least square means of evaluating fracture strength keeping one of the three bonding parameters fixed and other two as variables. Figure 5.4 Interaction plot for fracture strength (MPa)

67 Table 5.7 Least Squares Mean for fracture strength (MPa) with two variable factors 57

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING European 3D TSV Summit, January 22-23, 2013, Grenoble Dr. Rainer Knippelmeyer, CTO and VP of R&D, GM Product Line Bonder

More information

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration 2016-06-15, Chemnitz Chemnitz University of Technology Basic Research Fraunhofer ENAS System-Packaging (SP) Back-End of Line (BEOL) Applied

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL) Robert Fader Fraunhofer Institute for Integrated Systems and Device Technology (IISB) Germany Ulrike Schömbs SUSS

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco Structuring and bonding of glass-wafers Dr. Anke Sanz-Velasco Outline IMT Why glass? Components for life science Good bond requirements and evaluation Wafer bonding 1. Fusion bonding 2. UV-adhesive bonding

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

SHRINK. STACK. INTEGRATE.

SHRINK. STACK. INTEGRATE. SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

http://kth.diva-portal.org This is an author produced version of a paper published in IEEE 6th International Conference on Micro Electro Mechanical Systems (MEMS), 013. This paper has been peer-reviewed

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES 1 2 ATMOSPHERIC PRESSURE PLASMA PROCESSES AT THE FRAUNHOFER IST Today, atmospheric pressure plasma

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Si/GaAs heterostructures fabricated by direct wafer bonding

Si/GaAs heterostructures fabricated by direct wafer bonding Mat. Res. Soc. Symp. Proc. Vol. 681E 2001 Materials Research Society Si/GaAs heterostructures fabricated by direct wafer bonding Viorel Dragoi, Marin Alexe, Manfred Reiche, Ionut Radu, Erich Thallner 1,

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

Composite Materials. Fibre-Matrix Interfaces. There is nothing there really except the two of you (or the fiber and matrix).

Composite Materials. Fibre-Matrix Interfaces. There is nothing there really except the two of you (or the fiber and matrix). Composite Materials Fibre-Matrix Interfaces There is nothing there really except the two of you (or the fiber and matrix). Composite Parameters Fibre properties Composite Interfaces Matrix properties Fibre

More information

F R A U N H O F E R I N

F R A U N H O F E R I N FRAUNHOFER Institute FoR Electronic NAno systems ENAS System Packaging 1 2 3 4 5 The actual developments of micro and nano technologies are fascinating. Undoubted they are playing a key role in today s

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

BALL GRID ARRAY REWORK TRAINING CERTIFICATION TEST (DVD-96C) v.2

BALL GRID ARRAY REWORK TRAINING CERTIFICATION TEST (DVD-96C) v.2 This test consists of twenty multiple-choice questions. All questions are from the video: Ball Grid Array Rework (DVD-96C). Each question has only one most correct answer. Circle the letter corresponding

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2. Basic IC fabrication processes 2.1 Deposition and growth 2.2 Photolithography

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing Advanced Materials Research Online: 2012-06-14 ISSN: 1662-8985, Vols. 538-541, pp 23-28 doi:10.4028/www.scientific.net/amr.538-541.23 2012 Trans Tech Publications, Switzerland Research and Development

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding Jian-Qiang Lu, Timothy S. Cale, and Ronald J. Gutmann 10.1 Introduction Wafer bonding with intermediate polymer adhesives is one of the

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

A New Dielectrophoretic Coating Process for Depositing Thin Uniform Coatings on Films and Fibrous Surfaces

A New Dielectrophoretic Coating Process for Depositing Thin Uniform Coatings on Films and Fibrous Surfaces A New Dielectrophoretic Coating Process for Depositing Thin Uniform Coatings on Films and Fibrous Surfaces by Angelo Yializis Ph.D., Xin Dai Ph.D. Sigma Technologies International Tucson, AZ USA SIGMA

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Theories of Adhesion

Theories of Adhesion Theories of Adhesion Mechanical Theory According to mechanical theory, adhesion occurs by the penetration of adhesives into pores, cavities, and other surface irregularities of the surface of the substrate

More information

Nordson MARCH Concord, CA, USA

Nordson MARCH Concord, CA, USA Overcoming the Challenges Presented with Automated Selective Conformal Coating of Advanced Electronic Assemblies by Employing Plasma Treatment Technology David Foote Nordson MARCH Concord, CA, USA david.foote@nordsonmarch.com

More information

PREFERRED RELIABILITY PRACTICES. Practice:

PREFERRED RELIABILITY PRACTICES. Practice: PREFERRED RELIABILITY PRACTICES Practice No. PD-ED-1239 Page 1 of 6 October 1995 SPACECRAFT THERMAL CONTROL COATINGS DESIGN AND APPLICATION Practice: Select and apply thermal coatings for control of spacecraft

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Model 2300XP PSL & Process-Particle Wafer Deposition System

Model 2300XP PSL & Process-Particle Wafer Deposition System Model 2300XP PSL & Process-Particle Wafer Deposition System Deposit PSL spheres on wafers to create NISTtraceable PSL size standards for - calibrating wafer inspection systems - providing fab-wide and

More information

Lecture No. (1) Introduction of Polymers

Lecture No. (1) Introduction of Polymers Lecture No. (1) Introduction of Polymers Polymer Structure Polymers are found in nature as proteins, cellulose, silk or synthesized like polyethylene, polystyrene and nylon. Some natural polymers can also

More information

MSN551 LITHOGRAPHY II

MSN551 LITHOGRAPHY II MSN551 Introduction to Micro and Nano Fabrication LITHOGRAPHY II E-Beam, Focused Ion Beam and Soft Lithography Why need electron beam lithography? Smaller features are required By electronics industry:

More information

New Die Attach Adhesives Enable Low-Stress MEMS Packaging

New Die Attach Adhesives Enable Low-Stress MEMS Packaging New Die Attach Adhesives Enable Low-Stress MEMS Packaging Dr. Tobias Königer DELO Industrial Adhesives DELO-Allee 1; 86949 Windach; Germany Tobias.Koeniger@DELO.de Phone +49 8193 9900 365 Abstract High

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

P8D137. Features. Applications. Power UV LED Series is designed for high current operation and high flux output applications. Super high Flux output

P8D137. Features. Applications. Power UV LED Series is designed for high current operation and high flux output applications. Super high Flux output P8D137 Power UV LED Series is designed for high current operation and high flux output applications. P8D137 Features Super high Flux output and high Luminance Furthermore, its thermal management characteristic

More information

P8D1 P8D1. Features. Applications. Power UV LED Series is designed for high current operation and high flux output applications.

P8D1 P8D1. Features. Applications. Power UV LED Series is designed for high current operation and high flux output applications. P8D1 Power UV LED Series is designed for high current operation and high flux output applications. P8D1 Features Super high Flux output and high Luminance Furthermore, its thermal management characteristic

More information

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B PRODUCT FAMILY SPEFICIFATION SCB10H Series Pressure Elements SCB10H Series Pressure Elements Doc. No. 82 1250 00 B Table of Contents 1 General Description... 3 1.1 Introduction... 3 1.2 General Description...

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

RoHS. Specification CUD8AF1C. 서식 Rev: 00

RoHS. Specification CUD8AF1C.   서식 Rev: 00 Specification RoHS CUD8AF1C 1 [ Contents ] 1. Description 2. Outline dimensions 3. Characteristics of CUD8AF1C 4. Characteristic diagrams 5. Binning & Labeling 6. Reel packing 7. Recommended solder pad

More information

Thermal Methods of Analysis Theory, General Techniques and Applications. Prof. Tarek A. Fayed

Thermal Methods of Analysis Theory, General Techniques and Applications. Prof. Tarek A. Fayed Thermal Methods of Analysis Theory, General Techniques and Applications Prof. Tarek A. Fayed 1- General introduction and theory: Thermal analysis (TA) is a group of physical techniques in which the chemical

More information

Mechanics of wafer bonding: Effect of clamping

Mechanics of wafer bonding: Effect of clamping JOURNAL OF APPLIED PHYSICS VOLUME 95, NUMBER 1 1 JANUARY 2004 Mechanics of wafer bonding: Effect of clamping K. T. Turner a) Massachusetts Institute of Technology, Cambridge, Massachusetts 0219 M. D. Thouless

More information

(Refer Slide Time: 00:58)

(Refer Slide Time: 00:58) Nature and Properties of Materials Professor Bishak Bhattacharya Department of Mechanical Engineering Indian Institute of Technology Kanpur Lecture 18 Effect and Glass Transition Temperature In the last

More information

RoHS. Specification CUD8DF1A. Drawn Approval Approval. 서식 Rev: 00

RoHS. Specification CUD8DF1A. Drawn Approval Approval.  서식 Rev: 00 Specification RoHS CUD8DF1A SVC Customer Drawn Approval Approval 1 [ Contents ] 1. Description 2. Outline dimensions 3. Characteristics of CUD8DF1A 4. Characteristic diagrams 5. Binning & Labeling 6. Reel

More information

Solder Self-assembly for MEMS

Solder Self-assembly for MEMS Solder Self-assembly for MEMS Kevin F. Harsh, Ronda S. Irwin and Y. C. Lee NSF Center for Advanced Manufacturing and Packaging of Microwave, Optical and Digital Electronics, Department of Mechanical Engineering

More information

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM) Basic Laboratory Materials Science and Engineering Atomic Force Microscopy (AFM) M108 Stand: 20.10.2015 Aim: Presentation of an application of the AFM for studying surface morphology. Inhalt 1.Introduction...

More information

Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards

Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards Alexander V. Fomenko Institute of Robotics, Innopolis University, Innopolis, Russia xanderfomenko@gmail.com Alexander

More information

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA tudy of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer cience, Inc. 241 Brewer Dr., Rolla, MO 6541, UA ABTRACT The topography of a back-end wafer contains high-aspect

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013 Chemie des Klebens Chemistry of Adhesives Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013 Innovative Technologies 1 Overview Chemie des Klebens Chemistry of Adhesives Introduction

More information

In this place, the following terms or expressions are used with the meaning indicated:

In this place, the following terms or expressions are used with the meaning indicated: B05D PROCESSES FOR APPLYING LIQUIDS OR OTHER FLUENT MATERIALS TO SURFACES, IN GENERAL (apparatus for applying liquids or other fluent materials to surfaces B05B, B05C; {coating of foodstuffs A23P 20/17,

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

MATERIALS SCIENCE POLYMERS

MATERIALS SCIENCE POLYMERS POLYMERS 1) Types of Polymer (a) Plastic Possibly the largest number of different polymeric materials come under the plastic classification. Polyethylene, polypropylene, polyvinyl chloride, polystyrene,

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays

56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays 56.2: Invited Paper: Pixel-Isolated Liquid Crystal Mode for Plastic Liquid Crystal Displays Jong-Wook Jung, Se-Jin Jang, Min Young Jin, You-Jin Lee, Hak-Rin Kim, and Jae-Hoon Kim Department of Electronics

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Thin Wafer Handling Debonding Mechanisms

Thin Wafer Handling Debonding Mechanisms Thin Wafer Handling Debonding Mechanisms Jonathan Jeauneau, Applications Manager Alvin Lee, Technology Strategist Dongshun Bai, Scientist, 3-D IC R&D Materials Outline Requirements of Thin Wafer Handling

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

Woon-Seong Kwon Myung-Jin Yim Kyung-Wook Paik

Woon-Seong Kwon   Myung-Jin Yim Kyung-Wook Paik Woon-Seong Kwon e-mail: wskwon@kaist.ac.kr Myung-Jin Yim Kyung-Wook Paik Department of Materials Science and Engineering Korea Advanced Institute of Science and Technology Daejon 305-701, Korea Suk-Jin

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Supplementary Information

Supplementary Information ature anotechnology reference number: AO-06110617A Growth and alignment of polyaniline nanofibres with superhydrophobic, superhydrophilic and other properties an-rong Chiou 1,2,3, Chunmeng Lu 1, Jingjiao

More information

REAL-TIME MONITORING OF STRIATION DEVELOPMENT DURING SPIN-ON-GLASS DEPOSITION

REAL-TIME MONITORING OF STRIATION DEVELOPMENT DURING SPIN-ON-GLASS DEPOSITION REAL-TIME MONITORING OF STRIATION DEVELOPMENT DURING SPIN-ON-GLASS DEPOSITION Dylan E. Haas and Dunbar P. Birnie III Department of Materials Science and Engineering University of Arizona Tucson AZ, 85721

More information

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0.

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0. Specification GR101 Pb Free Drawn SSC Approval Customer Approval [ Contents ] 1. Description 2. Absolute maximum ratings 3. Electro-Optical characteristics 4. Characteristic diagrams 5. Reliability result

More information

Laboratory instruction SENSOR DEVICES

Laboratory instruction SENSOR DEVICES Laboratory instruction SENSOR DEVICES Examination: It is compulsory to attend the laboratory work. A set of given questions should be answered and should be handed in by each lab group at the end of the

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Electrochemical fouling of dopamine and recovery of carbon electrodes

Electrochemical fouling of dopamine and recovery of carbon electrodes Supporting Information Electrochemical fouling of dopamine and recovery of carbon electrodes Emilia Peltola 1,2*, Sami Sainio 1, Katherine B. Holt 2, Tommi Palomäki 1, Jari Koskinen 3, Tomi Laurila 1 1

More information

Bio-compatible polymer coatings using low temperature, atmospheric pressure plasma

Bio-compatible polymer coatings using low temperature, atmospheric pressure plasma High Performance and Optimum Design of Structures and Materials 579 Bio-compatible polymer coatings using low temperature, atmospheric pressure plasma S. Farhat, M. Gilliam, A. Zand & M. Rabago-Smith Department

More information

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS Maria Suggs, Physics Major, Southern Polytechnic State University

More information

Module17: Intermolecular Force between Surfaces and Particles. Lecture 23: Intermolecular Force between Surfaces and Particles

Module17: Intermolecular Force between Surfaces and Particles. Lecture 23: Intermolecular Force between Surfaces and Particles Module17: Intermolecular Force between Surfaces and Particles Lecture 23: Intermolecular Force between Surfaces and Particles 1 We now try to understand the nature of spontaneous instability in a confined

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

Thermal Management In Microelectronic Circuits

Thermal Management In Microelectronic Circuits Thermal Management In Microelectronic Circuits Prakash Bhartia*, C.M., Ph.D., F.R.S.C. Natel Engineering Co., Inc. 9340 Owensmouth Avenue Chatsworth, CA 91311-6915 Phone: (818) 734-6500 www.natelengr.com

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur Lecture - 9 Diffusion and Ion Implantation III In my

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Frontiers of Fracture Mechanics. Adhesion and Interfacial Fracture Contact Damage

Frontiers of Fracture Mechanics. Adhesion and Interfacial Fracture Contact Damage Frontiers of Fracture Mechanics Adhesion and Interfacial Fracture Contact Damage Biology, Medicine & Dentistry The Next Frontiers For Mechanics One of the current challenges in materials & mechanics is

More information