Impact of Polymerization Process and OOB on Lithographic Performance of a EUV Resist

Size: px
Start display at page:

Download "Impact of Polymerization Process and OOB on Lithographic Performance of a EUV Resist"

Transcription

1 Impact of Polymerization Process and B on Lithographic Performance of a EUV Resist Vipul Jain* a, Suzanne M Coley a, Jung June Lee b, Matthew D Christianson c, Daniel J Arriola c, Paul LaBeaume a, Maria E Danis a, Nicolas rtiz a, Su-Jin Kang a, Michael D Wagner d, Amy Kwok a, David AValeri a, James W Thackeray a a Dow Electronic Materials, 455 Forest Street, Marlborough, MA USA 1752 b The Dow Chemical Company, 736 Back-Seok Dong, Cheonan, Korea c The Dow Chemical Company, Midland, MI USA d The Dow Chemical Company, 257 Fuller Road, Suite 22, Albany, NY USA 1223 ABSTRACT Several approaches have been used to minimize LWR in advanced resists Various polymer and matrix properties, such as polymer molecular volume and free volume fraction, polymer dissolution, impact of activation energy of the deprotection reaction and distribution of small molecules in the polymer matrix have been shown to influence the functional behavior of the resist We have developed polymerization methods to improve the incorporation and homogeneity of monomers, including PAG monomer, in an EUV resist polymer Further, we report on use of a new cation which imparts reduced B character and a 3% improvement in LWR for a 28nm L/S feature with sensitivity of 1mJ/cm 2 versus a control containing the TPS cation Additionally this new material is capable of 21nm resolution We also tested the new cation for outgassing by RGA and observed a 6% reduction in outgassing versus a TPS control Keywords: EUV lithography, photoresist, polymer bound PAG (PBP), radical polymerization, kinetic modeling, polymer process optimization, B, outgassing 1 INTRDUCTIN There are many unique resist design considerations when building EUV resist materials The ionizing radiation of EUV photons at 134nm provides a high energy photon (~92eV) which quickly generates secondary electrons that are captured by the photoacid generator 1,2 ne must consider absorption and density of the resist material to EUV energies 3-6 Also, one must consider the PAG selection and the activation energy of the protecting group 7 In this paper, we will focus on the synthesis of methacrylate-based polymer-bound PAG [PBP] polymers as described in Figure 1 In earlier work 8,9, we reported the role of the protecting group, EUV sensitization, and the fundamental performance differences between a PBP system versus the traditional PAG blended resist system In this paper, we will focus on reaction kinetics of the individual resist monomers, optimization of PAG incorporation into the polymer, and finally optimization of the PAG cation to reduce the effects of out-of-band radiation [B] Numerous papers have been published on the effect of B radiation on EUV resist materials 1 ne of the worst PAGs for B is the ubiquitous triphenyl sulfonium cation [TPS] which is extremely sensitive to 193nm and 248nm wavelength light Through systematic PAG variation we have been able to reduce the sensitivity to B substantially while maintaining similar EUV sensitivity In concert with the aforementioned efforts, is the importance of EUV outgassing products from the resist cation Again, numerous papers have been published recently on the high outgassing rate for TPS cation and in particular large amounts of diphenyl sulfide and benzene 11 This paper will show that the low B sensitive PAG has also reduced outgassing Finally, we will show the EUV lithographic capability of these resists on the EMET tool in Albany *vipuljain@dowcom; phone ; fax Extreme Ultraviolet (EUV) Lithography II, edited by Bruno M La Fontaine, Patrick P Naulleau, Proc of SPIE Vol 7969, SPIE CCC code: X/11/$18 doi: 11117/ Proc of SPIE Vol

2 LG F F ESM F F S+ S 3 Figure 1 Typical EUV methacrylate-based PBP system with a covalently attached anion (LG Leaving Group, ESM - Electron Sensitizer Monomer) 2 RESULTS AND DISCUSSIN 21 Determination of monomer reactivity ratios using 1 H and 19 F spectroscopy Measurement of monomer reactivity ratios and polymerization kinetics is necessary to develop a polymerization procedure in which polymer with a consistent composition and molecular weight is generated throughout the entire reaction time For example, if one constituent monomer is more reactive than the others, its concentration will decrease relative to the other monomers throughout the polymerization and its incorporation in the polymer will decline unless a process is adopted to compensate for this behavior For these instances, a simplified reactivity ratio model can be employed wherein there is only one reactivity ratio for each monomer in a given system The reactivity ratios 12 are defined as k rx k px p1 Where k px is the propagation rate constant for the xth monomer such that the rate of the xth monomer disappearing is d[ M x ] k px[ M x ][ P ] dt Where, M x is the monomer and P is the propagating radical chain The reactivity ratios were determined by fitting the model to time dependent concentrations obtained through 1 H NMR experiments To illustrate our method, we show results for a polymerization of monomer 1 (Leaving Group - LG), monomer 2 (lactone), monomer 3 (ESM), and monomer 4 (PAG 1) with V-61 radical initiator in a 2:1 mixture of acetonitrile and tetrahydrofuran (Figure 1) A NMR tube was charged with all four monomers, the radical initiator, and deuterated polymerization solvent such that the monomer and initiator concentrations were similar to typical polymerization conditions The tube was placed into a preheated NMR probe (6-8 C) and the resulting batch polymerization was followed by 1 H NMR spectroscopy The vinylic protons for all four monomers were distinct in the 1 H NMR spectrum, so the concentration of each monomer was determined with time In addition, the unreacted V-61 radical initiator was observed in the 1 H NMR spectrum, so the initiator decomposition was monitored simultaneously Also, 19 F NMR spectra were acquired between the 1 H NMR spectra to follow the conversion of the monomer 4 (PAG 1) independently Examples of the modeled monomer concentrations fit to the concentrations measured by NMR spectroscopy are shown in Figure 2 Table 1 summarizes the reactivity ratios of these monomers, determined at three different polymerization temperatures Proc of SPIE Vol

3 && Monomers Monomers : : :' Monomers 3 4! I!! time in seconds time in seconds tieeieseoonds Figure 2 Fits (lines) of modeled monomer concentrations to measured concentrations throughout polymerizations at 6 C (left), 7 C (center), and 8 C (right) Table 1 Relative reactivity ratios for monomer 1, monomer 2, and monomer 4 versus monomer 3 (rate = 1) from best fits to the 1 H NMR data at 6 C, 7 C, and 8 C in acetonitrile/thf using V61 initiator Monomer 6 C 7 C 8 C The largest reactivity difference is monomer 4, reacting twice as fast as monomer 3 at 6 C Thus, the development of a polymerization process wherein all four monomer concentrations remain constant throughout the reaction should be possible As expected, the reactivity ratios approach unity with increased polymerization temperature However, a comparison of monomer 2 and monomer 4 shows that the magnitude of change is not the same for every monomer At low temperature monomer 4 has the fastest propagation rate of the monomers, but at higher temperatures monomer 2 becomes the fastest propagating monomer This result highlights the importance of determining reactivity ratios under the same conditions as the polymerization in order to provide a scaffold for process development Reactivity ratios of the monomers, with respect to Monomer 3, were also determined for Polymer 2 (Figure 3) containing PAG 2 monomer at 67 C and 75 C The polymerizations were performed at 67 C and 75 C in acetonitrile/thf and ethyl lactate/cyclohexanone, respectively using V-65 initiator The reactivity ratios were determined by fitting the model to time dependent concentrations obtained through HPLC (residual monomer analysis) Monomer 2 was the fastest propagating monomer at 75 C and has substantially reduced reactivity at 67 C (Table 2) PAG monomer has the smallest reactivity ratio at lower temperature and could thus result in residual PAG monomer in the resist formulation if the polymerization is done at these temperatures For the PBP system, it is critical to avoid residual PAG monomer in the resist to limit acid diffusion during the PEB step Figure 3 EUV polymer 2, containing stonger sulfonium anion monomer (LG: Leaving Group, LA: Lactone: ESM: Electron Sensitizer Monomer) Proc of SPIE Vol

4 Table 2 Relative reactivity ratios for monomer 1, monomer 2, and monomer 5 vs monomer 3 (rate = 1) at 67 C and 75 C The polymerizations at 67 C and 75 C were run in acetonitirle/thf and ethyl lactate/cyclohexanone, respectively V-65 was used as an initiator at both temperatures Monomer 67 C 75 C Polymer process optimization effects on PAG monomer incorporation Several platforms such as PBPs, PHS systems, molecular glasses and hybrid systems are being considered by various resist vendors worldwide 13 PBP systems are currently one of the most promising candidates to print sub 16nm node features However, continuous improvement is still needed to meet the stringent RLS requirements set forward for patterning EUV resists It is expected that EUV resists must simultaneously pattern 22nm half pitch, with an LWR less than 18 nm, and a sensitivity of 1-15mJ/cm 2 In the case of the PBP system, these requirements could potentially be met by varying monomer composition, monomer distribution, molecular weight, choice of the PAG, leaving group and/or ESM monomer A more uniform PAG monomer distribution along the polymer chain should help in achieving an EUV resist with reduced likelihood of chain aggregation and a more uniform dissolution rate after exposure/peb and hence improved LER/LWR 14 Another important aspect relating to the polymer homogeneity is the variation in Molecular weight (Mw) and polydispersity (PDI) during the polymerization process Resist polymers with a wider PDI may lead to chains with very different dissolution rates A controlled PDI would thus result in a consistent dissolution rate of the resist during the development step and may help in reducing defects In order to explore the effect of monomer distribution on lithographic performance, five polymers consisting of monomer 1, monomer 2, monomer 3 and monomer 5 (Figure 2) were synthesized using different semi batch processes as described in Table 3 This was achieved by altering the reaction temperature, reaction concentration, feed rates and amounts/composition of the heel charge Table 3 Description of polymerization processes -4 Process Reaction Feed solids Feed time Heel charge Hold time temperature ( o C) 67 1x 1x 1x 1x x 2x 1x 2x x 2x 1x 1x x 2x 6x x 2x 6x 1x Each process variation contributed an incremental improvement with respect to increasing yields, consistent monomer incorporation, lower residual PAG (Table 4), tighter PDI distribution (Figure 5) and ease of scale up in going from version to version 4 process Aliquots from the reaction mixtures were collected at various time intervals Each aliquot was analyzed through HPLC (residual monomers) and GPC (Molecular weight) Because the PAG monomer contains both the anionic and cationic species, the peak corresponding to the anionic part was only analyzed to determine the amount of un-reacted monomer The peaks for other monomers were well separated and used to determine the amount of un-reacted monomer Since the feed rates, volumes and feed times of each monomer were known, the molar concentration of each monomer was thus calculated through mass balance The cumulative polymer composition (bulk polymer composition), defined as the composition of the polymer with respect to various monomers at a given time, was plotted as a function of reaction time (Figure 4a and b) for the version and version 4 process The molar concentration of residual PAG as a function of reaction time was also plotted for version and version 4 process as shown in Figure 4c Proc of SPIE Vol

5 Version through version 3 process led to polymers with varying levels of monomer incorporation either at the beginning or towards the end of the reaction As shown in Figure 4a, the cumulative polymer composition for version process shows inconsistent monomer incorporation at the early stages of the reaction In version process (along with version 1 and 2 process), due to a very small heel charge, there is a larger temperature variation expected at the beginning of the reaction which would lead to a significantly different reactivity ratios of the monomers and therefore a very different polymer composition at the onset of the reaction We also observed a wider PDI for version process which is attributed to a poor temperature control in the reaction vessel during the first hour of the reaction prior to attaining an equilibrium state (Figure 5) I I 7 4 os,4 3,2 1 a 6 (4a) S U (4b) a -U U U U S U 4 I Monoinm I Mmiornml I Moirnrm 2 A P,Imouim 3 2 IAI( 3 a A A S 3 Ponon,mS - x ) X )( )( X ix x X X 13m 1mW 6 C a C 5 to 3 In U U U V!%1li UVmcon I Tim, U U Figure 4: Cumulative polymer composition with respect to various monomers as a function of polymerization time scale for version (4a) and version 4 process (4b) Residual concentration of PAG monomer in version and version 4 process (4c) after polymerization 3S z is Vmcion 4 Tin, (mm) Figure 5 PDI of polymers made through version and version 4 process Based on the residual monomer data and kinetic models obtained from version through version 3 processes, an optimal heel charge, reaction concentration, temperature and feed rates were determined and used in the optimized version 4 Proc of SPIE Vol

6 process Version 4 process shows a more uniform bulk composition through the course of the reaction The polymer made with process 4 has consistent monomer incorporation along with minimal variation in PDI throughout the polymerization (Figure 4b & 5) and yielded a polymer with lower residual PAG monomer (Table 4) As can be seen in Figure 4c, altering the reaction conditions helped us attain polymers with very low residual PAG monomer at the end of the reaction using an optimized process Table 4 Summary of results for polymer processes -5 Residual PAG amounts are normalized to version 4 process Process Isolated polymer yield Residual PAG 65% % % % % 1 23 ptimization of PAG cation for reduced outgassing and improved B Polymer process optimization for polymer 2 (Versions - 4) did not yield the expected improvement in RLS properties, specifically, there was no clear trend showing reduced LWR as the polymer process moved from to 4 Since polymer process optimization with a TPS containing PAG monomer did not yield a satisfactory result, we next looked at altering the PAG monomer cation as a potential method of performance improvement EUV sources emit a range of wavelengths other than EUV, collectively referred to as out-of-band radiation (B) 15 The EUV optics reflect this B radiation on to the wafer plane, resulting in undesirable exposure of the resist and a reduction in image contrast The TPS cation imparts excellent EUV sensitivity to resists Unfortunately, it is also extremely sensitive to longer exposure wavelengths, such as 193nm and 248nm, and as a result EUV resists utilizing the TPS cation are strongly impacted by B radiation It would be advantageous for a cation to have good sensitivity to EUV radiation and limited sensitivity to longer exposure wavelengths Polymer 3 was made by the version 4 process described above, using monomer 6, containing a modified cation (X + ) (PAG 3, Figure 6) instead of monomer 5, containing TPS cation (PAG 2, Figure 3) Figure 6 EUV polymer 3, containing improved B cation Introduction of PAG 3 cation imparts improved B to polymer 3 compared to polymer 2 used as a reference B performance can be estimated by the ratio of E at EUV and E at a longer wavelength, such as 193nm and 248nm A lower ratio indicates a better B characteristic Polymer 3 has a E EUV /E 248 ratio of 2, which is 35x lower than that of polymer 2, consisting of TPS cation The improved B property of PAG 3 is even better at 193nm wavelength, where the E EUV /E 193 ratio is 5 times lower for polymer 3 versus polymer 2 (TPS) (Figure 7) The B impact on pattern fidelity can be directly seen in the x-section SEM comparison of polymer 2 and polymer 3 (Figure 8) Polymer 2 shows substantial top-profile erosion, while polymer 3 shows a much smoother feature, with substantially less erosion Furthermore, based on masking linearity, polymer 3 has been shown to be capable of 21nm resolution (Figure 9) Polymer 3 also shows a good process window at 22nm line/space under dipole illumination LWR of less than 55nm was observed over a 28nm focus range with a 16% exposure latitude for the resist utilizing polymer 3 (Figure 1) Proc of SPIE Vol

7 2 E 15 >- i W 5 LU 4TPS --low 1% catioti 1 2 waviength (rim) Figure 7 E comparison of polymer 2 (TPS cation) and polymer 3 (low B cation) at 3 exposure wavelengths (134nm, 193 nm, and 248nm) Dose = 99mJ, 28nm 1:1 Dose = 998mJ, 28nm 1:1 269nm measured, LWR = 58 nm 261nm measured, LWR = 42 nm ` Figure 8 SEM comparison between polymer 2 (left) and polymer 3 (right) at EUV exposure (Quad illumination) ti1t -I TT - -'I Figure 9 Polymer 3 showing 21nm resolution capabilities through mask linearity (Dipole illumination) 22nm CD 22nm LWR E IbM la_a 5 t4m -12l mj 12- BmJ 135 mj el mj l5 a mj E II4mJ I2I mj I2SmJ I35 mj 142 mj 14 9 mj Foous(um) Foous(um) Figure 1: Process window and LWR variation on Polymer 3 at 22nm CD (Dipole Illumination) Proc of SPIE Vol

8 utgassing is another EUV resist performance characteristic greatly influenced by the identity of the PAG cation The TPS cation has been shown to produce volatile photolysis products (benzene and diphenylsulfide) during EUV, 193 and 248nm exposure 11 Because the EUV exposure takes place in a vacuum, the EUV optics are at greater risk of contamination than those of longer wavelength exposure tools Thus, a cation with a much lower tendency to produce volatile organic photolysis products is more desirable Polymer 2 and polymer 3 were tested for outgassing by Residual Gas Analysis (RGA) The results show that the low B cation of polymer 3 reduces outgassing by greater than 6% versus the TPS cation (Figure 11) 11 I 8 ' 4 2 potytier 2 polymer 3 relativerga Figure 11 Relative outgassing data for polymers 2 and 3; 35-2 amu excluding 44 amu 3 CNCLUSINS We have shown improvements in monomer incorporation using kinetic modeling for a four monomer radical polymerization system containing a polymerizable PAG Process improvements resulted in polymers with more uniform monomer incorporation, better Mw control and lower residual monomers Additionally, we showed that optimization of the PAG monomer cation to a species with improved B characteristics resulted in a EUV resist with 3% improvement in LWR (28nm hp) and 21nm resolution capability Furthermore, we showed that this improved B cation also imparted a 6% reduction in outgassing as measured by RGA versus the standard TPS cation 4 EXPERIMENTAL The monomers were either purchased from commercial sources or prepared in house PBP methacrylate polymers were synthesized as described below Monomer 1 refers to leaving group monomer, Monomer 2 refers to lactone, Monomer 3 is the electron sensitizing monomer and Monomer 4, 5 and 6 represents the PAG monomer Polymerization and precipitation solvents were purchased from commercial sources and used without further purification Final polymer and/ or polymer aliquots were analyzed by NMR ( 1 H, 13 C and 19 F) GPC and HPLC Monitoring polymerizations by 1 H, 19 F and 13 C NMR Spectroscopy: Reactivity ratios of the various monomers were determined using 1 H and 19 F NMR by dissolving the various monomers in acetonitrile-d 3 /THF-d 6 (2/1 v/v) in a nitrogen atmosphere dry box The mixture was stirred to form a clear, colorless solution, loaded into a 5 mm NMR tube, and stored in a -4 C freezer until use The probe of a Varian 4-MR NMR spectrometer was heated to 6 C, and the sample was inserted into the spectrometer Within three minutes, an arrayed data collection was started (one 1 H spectrum every 8 s with a 19 F spectrum interwoven between each 1 H spectrum) The reaction was monitored for 15 hours The NMR integrations were converted to concentrations by assuming the initial PAG 1 (Monomer 4) signal was equal to the gravimetric concentration Signature peaks for other monomers were used for quantification The residual PAG amounts were quantified using 19 F NMR spectroscopy The polymers were dissolved in acetone d 6 at 5-7 weight% A 3MHz Proc of SPIE Vol

9 Varian NMR was used to evaluate free and bound PAG monomer Typically, the Fluorine on the un-reacted monomer appears as a sharp singlet at 156 ppm (PAG 1) A broad peak is seen for the fluorine on the PAG bound to the polymer at 152 ppm The relative integration between the two peaks was used to determine the % residual PAG The polymer compositions of the final polymers were evaluated using quantitative 13 C NMR Typically 25 weight % solution of the polymer was prepared in acetone d 6 with chromium acetyl acetonate and transferred to a 5mm NMR tube A 15 hour run in a 3 MHz Varian NMR at 21 C was used to determine the molar composition of the polymer with respect to each individual monomer Determination of instantaneous polymer composition using HPLC: Aliquots from reaction mixtures were collected at timed intervals and analyzed for un-reacted monomer content using an Agilent 11 HPLC, attached with an ACE C18 column at 214 nm Molecular weight determination: Molecular weight on reaction aliquots and final polymers were determined (relative to polystyrene) using a Waters GPC employing a mixed bed column with a dual detector Resist formulations: Various polymers were formulated for positive tone EUV lithographic evaluation at EMET Albany A typical resist formulation was obtained by dissolving the polymer in ethyl lactate and hydroxymethyl isobutyrate Base quencher and surface leveling agent were added to the polymer solution The resist solution was passed through a 1um PTFE filter Resist formulations were spun cast on 2mm Si wafers coated with 25nm of underlayer to a resist thickness of 6nm The films were annealed at 13 C for 9 seconds and exposed to EUV light source (NA=3; Quad; 22σ/ 68σ ) using both an open frame array in order to obtain a contrast curve and through a binary mask containing dark field line/space patterns The exposed wafers were baked at 1 C for 6 seconds and then developed with 26N tetramethylammonium hydroxide solution for 6 seconds Synthesis of various polymers (polymer 2 and 3) using version through version 4 process: Monomers 1-4 were dissolved in the reaction solvent at a desired concentration to target a 2g yield Depending on the process used, an appropriate amount of heel charge was made either consisting of just the solvent or monomers and solvent mix nce an equilibrium temperature was attained, the feed solution was fed into the reactor Feed time/rate and hold time were altered depending on the process used The reaction mixtures were cooled to room temperature followed by precipitation All polymers were precipitated twice followed by vacuum drying at 45 C for 8 hours to yield a white flaky powder The isolated polymer was analyzed by GPC (Mw), 19 F NMR (residual PAG) and 13 C NMR (bulk polymer composition) REFERENCES [1] Kozawa T, Saeki, A, Tagawa S, Modeling and simulation of chemically amplified electron beam, x-ray and EUV resist processes, J Vac Sci Technol B, 22(6), (Nov/Dec 24) [2] Yamamoto H, Kozawa T, Nakano A, kamoto K, Tagawa, S, Ando T, Sato M, Komano H, Dependence of acid generation efficiency on the protection ratio in chemically amplified electron beam, x-ray and EUV resists, J Vac Sci Technol B, 22(6), (Nov/Dec 24) [3] Yamaguchi, T, Yamazaki, K, Namatsu H, Molecular weight effect on line-edge roughtness, Proc SPIE, 539, (23) [4] (a) Chandhok, M, Cao, H, Yueh, W, Gullikson, EM, Brainard, RL, Robertson, SA, Proc SPIE, 5374, 861 (24); (b), Matsuzawa N N, Mori S, Yano, E, kazaki, S, Ishitani, A, Dixon, D A, Theoretical calculations of photoabsorption of molecules in the vacuum ultraviolet region, Proc SPIE, 4343, 278 (21); (c) Henke L, et al, Atomic Data and Nuclear Data Tables, 54 (2) 181 (1993); Dai, J, ber C K, Proc SPIE, 5376, 58 (24) [5] (a) Kwark Y J, Bravo-Vasquez J P, ber C K, Cao, H B, Deng H, Meagley, R, Novel Silicon-containing Polymers as Photoresist Materials for EUV Lithography, Proc SPIE, 539, (23); (b) Bravo-Vasquez, J P, Kwark Y J, ber C K, Cao, H B, Deng H, Meagley, R, Silicon Backbone polymers as EUV Resists, Proc SPIE, 5376, (24); (c) Kwark Y J, Bravo-Vasquez, J P, Chandhok, M, Cao, H, Deng, H, Gullikson, E, ber, C K, Absorbance Measurement of Polymers at Extreme UV Wavelength: Correlation between Experimental and Theoretical Calculations, J Vac Sci Tech B, 24(4), (26) Proc of SPIE Vol

10 [6] Chandhok, M, Cao, H, Yueh, W, Gullikson, E, Brainard, R L, Robertson, S, Techniques for directly measuring absorption at EUV wavelength, Proc SPIE, 5374, (24) [7] Foucher J, Pikon, A, Andes, C, Thackeray, J W, Impact of Acid Diffusion Length on Resist LER and LWR measured by CD-AFM and CD-SEM, Proc SPIE, 6518, 65181Q Q (27) [8] Van Steenwinckel, D, Lammers, J, Koehler, T, Brainard, R L, Trefonas, P, Resist effects at small pitches, J Vac Sci Technol B, 24(1) (26) [9] (a) Thackeray, J W, Nassar, R A, Brainard, R L, Goldfarb, D, Wallow, T, Wei, Y, Mackey, J, Naulleau, P, Pierson, B, Solak, H H, Chemically amplified resists resolving 25 nm 1:1 line: space features with EUV lithography, Proc SPIE, 6517, (27) (b) Thackeray J W, Nassar, R A, Spear-Alfonso, K, Brainard, R L, Goldfarb, D, Wallow, T, Wei, Y, Montgomery, W, Petrillo, K, Wood,, Koay C S, Mackey J, Naulleau, P, Pierson, B, Solak, H, Pathway to sub-3 nm Resolution in EUV Lithography, J Photopoly Sci Tech, 2 (3), (27) [1] (a) Roberts J M, Bristol, R L, Younkin, T R, Fedynyshyn, T H, Astolfi, D K, Cabral A, Sensitivity of EUV resists to out-of-band radiation, Proc SPIE, 7273, 72731W (29) (b) Mbanaso C, Denbeaux G, Dean, K, Brainard, R L, Kruger, S, and Hassanein, E, Investigation of sensitivity of extreme ultraviolet resists to out-ofband radiation, Proc SPIE, 6921, 69213L (28) [11] (a) Pollentier, I, Goethals, A M, Gronheid, R, Steinhoff, J, Van Dijk, J, Characterization of EUV optics contamination due to photoresist related outgassing, Proc SPIE 7636, 76361W (21) (b) Kobayashi, S, Santillan, J J, izumi, H, Itani, T, EUV resist outgassing quantification and application, Proc SPIE, 7273, 72731P (29) (c) Antohe A, Mbanaso, C, Fan, Y J, Yankulin, L, Garg, R, Thomas, P, Denbeaux, G, Piscani, E C, Wuest, A F, EUV resist outgassing: scaling to HVM intensity, Proc SPIE, 7271, (29) (d) Tagawa, S, Nagahara, S, Iwamoto T, Wakita, M, Kozawa, T, Yamamoto, Y, Werst D, and Trifunac, A D, Radiation and photochemistry of onium salt acid generators in chemically amplified resists, Proc SPIE, 3999, 24 (2) [12] dian G, Principles of Polymerization, 4 th Ed; Wiley-Interscience, (24) [13] Koh, C, Georger, Ren, J L, Huang, G, Goodwin, F, Wurm, S, Ashworth, D, Montgomery, W, Pierson, B, Park, J, Naulleau, P, Characterization of promising resist platforms for sub-3-nm HP manufacturability and EUV CAR extendibility study Proc SPIE, 7636, 76364/1 (21) [14] Kozawa, T, Yamamoto, H, Tagawa S, Effect of inhomogeneous acid distribution on line edge roughness - relationship to line edge roughness originating from chemical gradient, J Photopoly Sci Tech, 23 (5), (21) [15] (a) George S A, Naulleau, P, Rekawa, S, Gullikson, E, Kemp, C D, Estimating the out-of-band radiation flare levels for extreme ultraviolet lithography, J Micro/Nanolith MEMS MEMS, 8, 4152 (29); (b) Lorusso, G F, Roey, F V, Hendrickx, E, Fenger, G, Lam, M, Zuniga, C, Habib, M, Diab, H, Word J, Flare in extreme ultraviolet lithography: metrology, out-of-band radiation, fractal point-spread function, and flare map calibration, J Micro/Nanolith MEMS MEMS, 8, 4155 (29) Proc of SPIE Vol

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

RLS Trade-Off: Questions about Molecular Size and Quantum Yield RLS Trade-Off: Questions about Molecular Size and Quantum Yield Robert Brainard and Craig Higgins Supported by SEMATECH and Rohm and Haas 10/2/08 1 Outline I. Introduction II. III. IV. Effect of Molecular

More information

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 501 505 2015SPST Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

More information

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems Seiichi Tagawa The Institute of Scientific and Industrial

More information

EUV Resist-Fundamental Research

EUV Resist-Fundamental Research EUV Resist-Fundamental Research Akinori Saeki, Hiroki Yamamoto, Takahiro Kozawa, and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University CREST, Japan Science and Technology

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm Grace H. Ho, 1 Fu-H. Kang, 1 Yu-H. Shih, 1 Hok-S. Fung, Hwang-W. Fu, Rikimaru

More information

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography Journal of Photopolymer Science and Technology Volume 7, Number () 9 SPST Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Techniques for directly measuring the absorbance of photoresists at EUV wavelengths Manish Chandhok, a Heidi Cao, a Wang Yueh, a Eric Gullikson, b Robert Brainard, c Stewart Robertson c a Intel Corporation,

More information

Application of Stochastic Modeling to Resist Optimization Problems

Application of Stochastic Modeling to Resist Optimization Problems Application of Stochastic Modeling to Resist Optimization Problems John J. Biafore, Mark D. Smith KLA-Tencor, FINLE Division, North Capital of Texas Highway, Austin, TX 779 BACKGROUND: Modifying specific

More information

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis Study of Shot Noise in EUV Resists through EUV and E-Beam Comparative LER Analysis Suchit Bhattarai a), Andrew R. Neureuther Department of Electrical Engineering and Computer Sciences, UC Berkeley, Berkeley,

More information

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Molecular Organometallic Resists for EUV (MORE) October 6, 2013 Brian Cardineau, 1 James Passarelli, 1 Miriam Sortland, 1 Ryan Del Re, 1 Westly Tear, 1 Hashim Al-Mashat, 2 Miles Marnell, 2 Kara Heard,

More information

Supporting Information

Supporting Information Supporting Information Controlled Radical Polymerization and Quantification of Solid State Electrical Conductivities of Macromolecules Bearing Pendant Stable Radical Groups Lizbeth Rostro, Aditya G. Baradwaj,

More information

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008 Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Polymer Matrix Effects on EUV Acid Generation

Polymer Matrix Effects on EUV Acid Generation Polymer Matrix Effects on EUV Acid Generation Theodore H. Fedynyshyn, Russell B. Goodman, and Jeanette Roberts # Lincoln Laboratory Massachusetts Institute of Technology # Intel Corporation The Lincoln

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

Amphiphilic diselenide-containing supramolecular polymers

Amphiphilic diselenide-containing supramolecular polymers Electronic Supplementary Material (ESI) for Polymer Chemistry. This journal is The Royal Society of Chemistry 2014 Amphiphilic diselenide-containing supramolecular polymers Xinxin Tan, Liulin Yang, Zehuan

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Methodology of modeling and simulating line-end shortening effects in deep-uv resist Methodology of modeling and simulating line-end shortening effects in deep-uv resist Mosong Cheng*, Ebo Croffie, Andrew Neureuther Electronics Research Laboratory Department of Electrical Engineering and

More information

Measurement of the role of secondary electrons in EUV resist exposures

Measurement of the role of secondary electrons in EUV resist exposures Measurement of the role of secondary electrons in EUV resist exposures June 12, 213 International Workshop on EUV Lithography Greg Denbeaux a, Justin Torok, a Ryan Del Re, a Henry Herbol, a Sanjana Das,

More information

Novel Supercapacitor Materials Including OLED emitters

Novel Supercapacitor Materials Including OLED emitters Electronic Supplementary Material (ESI) for New Journal of Chemistry. This journal is The Royal Society of Chemistry and the Centre National de la Recherche Scientifique 2015 Supporting Information Novel

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Is an EUV Film Quantum Yield of 30 Possible?

Is an EUV Film Quantum Yield of 30 Possible? Is an EUV Film Quantum Yield of 30 Possible? February 21, 2016 Resist TWG San Jose, CA Greg Denbeaux, Amrit Narasimhan, Steven Grzeskowiak, and Robert L. Brainard SUNY Polytechnic Institute Resist TWG

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Optimizing Ion Transport in Polyether-based Electrolytes for Lithium Batteries

Optimizing Ion Transport in Polyether-based Electrolytes for Lithium Batteries Supporting Information Optimizing Ion Transport in Polyether-based Electrolytes for Lithium Batteries Qi Zheng, 1 Danielle M. Pesko, 1 Brett M. Savoie, Ksenia Timachova, Alexandra L. Hasan, Mackensie C.

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation Study of Deprotection Reaction during Exposure in hemically Amplified Resists for Lithography Simulation Yasuhiro Miyake, Mariko Isono and Atsushi Sekiguchi Litho Tech Japan orporation, 2-6-6-201, Namiki,

More information

Red Color CPL Emission of Chiral 1,2-DACH-based Polymers via. Chiral Transfer of the Conjugated Chain Backbone Structure

Red Color CPL Emission of Chiral 1,2-DACH-based Polymers via. Chiral Transfer of the Conjugated Chain Backbone Structure Electronic Supplementary Material (ESI) for Polymer Chemistry. This journal is The Royal Society of Chemistry 2015 Red Color CPL Emission of Chiral 1,2-DACH-based Polymers via Chiral Transfer of the Conjugated

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION DOI: 10.1038/NCHEM.2633 Mechanically controlled radical polymerization initiated by ultrasound Hemakesh Mohapatra, Maya Kleiman, Aaron P. Esser-Kahn Contents 1. Materials and methods 2 2. Procedure for

More information

Radiation Chemistry of EUV and EB Resists

Radiation Chemistry of EUV and EB Resists Radiation Chemistry of EUV and EB Resists Tagawa 1,2,3 1 The Institute of Scientific and Industrial Research, Osaka University, 2 Japan Science and Technology Agency, CREST, c/o Osaka University, 8-1 Mihogaoka,

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Technology offer: Environmentally friendly holographic recording material

Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material Technology offer: Environmentally friendly holographic recording material SUMMARY Our research group has developed a new photopolymer

More information

More on Stochastics and the Phenomenon of Line-Edge Roughness

More on Stochastics and the Phenomenon of Line-Edge Roughness More on Stochastics and the Phenomenon of Line-Edge Roughness Chris A. Mack 34 th International Photopolymer Science and Technology Conference Chiba, Japan, June 28, Conclusions We need more than just

More information

High-Performance Semiconducting Polythiophenes for Organic Thin Film. Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner

High-Performance Semiconducting Polythiophenes for Organic Thin Film. Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner Supplementary Materials for: High-Performance Semiconducting Polythiophenes for Organic Thin Film Transistors by Beng S. Ong,* Yiliang Wu, Ping Liu and Sandra Gardner 1. Materials and Instruments. All

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

The ph-responsive behaviour of aqueous solutions of poly(acrylic acid) is dependent on molar mass

The ph-responsive behaviour of aqueous solutions of poly(acrylic acid) is dependent on molar mass Electronic Supplementary Material (ESI) for Soft Matter. This journal is The Royal Society of Chemistry 2016 The ph-responsive behaviour of aqueous solutions of poly(acrylic acid) is dependent on molar

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists INTERFACE '95 This paper was published in the proceedings of the OCG Microlithography Seminar, Interface '95, pp. 217-228. It is made available as an electronic reprint with permission of OCG Microelectronic

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

One polymer for all: Benzotriazole Containing Donor-Acceptor Type Polymer as a Multi-Purpose Material

One polymer for all: Benzotriazole Containing Donor-Acceptor Type Polymer as a Multi-Purpose Material One polymer for all: Benzotriazole Containing Donor-Acceptor Type Polymer as a Multi-Purpose Material Abidin Balan a, Derya Baran a, Gorkem Gunbas a,b, Asuman Durmus a,b, Funda Ozyurt a and Levent Toppare

More information

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations

Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations Microelectronic Engineering xxx (2004) xxx xxx www.elsevier.com/locate/mee Effects of photoresist polymer molecular weight on line-edge roughness and its metrology probed with Monte Carlo simulations G.P.

More information

Photo-Cleavage of Cobalt-Carbon Bond: Visible. Light-Induced Living Radical Polymerization Mediated by. Organo-Cobalt Porphyrins

Photo-Cleavage of Cobalt-Carbon Bond: Visible. Light-Induced Living Radical Polymerization Mediated by. Organo-Cobalt Porphyrins Photo-Cleavage of Cobalt-Carbon Bond: Visible Light-Induced Living Radical Polymerization Mediated by Organo-Cobalt Porphyrins Yaguang Zhao, Mengmeng Yu, and Xuefeng Fu* Beijing National Laboratory for

More information

I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams

I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams CYRIC Annual Report 2001 I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams Matsuyama S., Ishii K., Yamazaki H., Endoh H., Yuki H., Satoh T., Sugihara S., Amartaivan Ts., Tanaka

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography

Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography PAPER www.rsc.org/materials Journal of Materials Chemistry Novel chemically amplified resists incorporating anionic photoacid generator functional groups for sub-50-nm half-pitch lithography Kenneth E.

More information

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University

More information

Efficient Magnesium Catalysts for the Copolymerization of Epoxides and CO 2 ; Using Water to Synthesize Polycarbonate Polyols

Efficient Magnesium Catalysts for the Copolymerization of Epoxides and CO 2 ; Using Water to Synthesize Polycarbonate Polyols Supporting Information for Efficient Magnesium Catalysts for the Copolymerization of Epoxides and CO 2 ; Using Water to Synthesize Polycarbonate Polyols Michael R. Kember, Charlotte K. Williams* Department

More information

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography Suchit Bhattarai Electrical Engineering and Computer Sciences University of California at Berkeley

More information

Blending conjugated polymers without phase separation for fluorescent colour tuning of polymeric materials through FRET

Blending conjugated polymers without phase separation for fluorescent colour tuning of polymeric materials through FRET Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supplementary Information Blending conjugated polymers without phase separation for fluorescent

More information

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

The Effect of Electrostatic Surface Charges on Photoresist Dissolution Sean Burns 4/24/00 Dr. Bonnecaze ChE 385M The Effect of Electrostatic Surface Charges on Photoresist Dissolution Introduction/Motivation It would be very useful and economical to have a fundamental model

More information

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law Chris A. Mack Lithoguru.com, 1605 Watchhill Rd, Austin, TX 78703 Abstract Moore s Law, the idea that every

More information

Supplementary Information

Supplementary Information Supplementary Information Experimental Section Hybrid Nanoparticle Synthesis The hafnium nanoparticles surface-modified with methacrylic acid (MAA, Sigma Aldrich, 99%), trans 2, 3-dimethylacrylic acid

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

5. Photochemistry of polymers

5. Photochemistry of polymers 5. Photochemistry of polymers 5.1 Photopolymerization and cross-linking Photopolymerization The fundamental principle of photopolymerization is based on the photoinduced production of a reactive species,

More information

Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen Barlow, a Xuelian Zhu, b Jun Hyuk Moon, b Seth R. Marder, * a and Shu Yang* b

Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen Barlow, a Xuelian Zhu, b Jun Hyuk Moon, b Seth R. Marder, * a and Shu Yang* b Supporting Information for: Poly(glycidyl methacrylate)s with controlled molecular weights as lowshrinkage resins for 3D multibeam interference lithography Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen

More information

Hyperbranched Poly(N-(2-Hydroxypropyl) Methacrylamide) via RAFT Self- Condensing Vinyl Polymerization

Hyperbranched Poly(N-(2-Hydroxypropyl) Methacrylamide) via RAFT Self- Condensing Vinyl Polymerization Electronic Supplementary Material (ESI) for Polymer Chemistry. This journal is The Royal Society of Chemistry 2016 Hyperbranched Poly(N-(2-Hydroxypropyl) Methacrylamide) via RAFT Self- Condensing Vinyl

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Supplementary Material (ESI) for Chemical Communication

Supplementary Material (ESI) for Chemical Communication Supplementary Material (ESI) for Chemical Communication Syntheses and Characterization of Polymer-Supported Organotrifluoroborates: Applications in Radioiodination Reactions Li Yong; Min-Liang Yao; James

More information

Chemically recyclable alternating copolymers with low polydispersity from

Chemically recyclable alternating copolymers with low polydispersity from Electronic Supplementary Information Chemically recyclable alternating copolymers with low polydispersity from conjugated/aromatic aldehydes with vinyl ethers: selective degradation to another monomer

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Figure 4.10 HPLC Chromatogram of the Carbazole-Phenoxy Based Methacrylate

Figure 4.10 HPLC Chromatogram of the Carbazole-Phenoxy Based Methacrylate The percent yield of the methacrylation was 85.2 %, with a purity of 98.2 % determined by HPLC (Figure 4.10). Elemental analysis gave excellent agreement to expected elemental ratios (Table 4.2). Disregarding

More information

Aziridine in Polymers: A Strategy to Functionalize Polymers by Ring- Opening Reaction of Aziridine

Aziridine in Polymers: A Strategy to Functionalize Polymers by Ring- Opening Reaction of Aziridine Electronic Supplementary Material (ESI) for Polymer Chemistry. This journal is The Royal Society of Chemistry 2015 Electronic Supplementary Information (ESI) Aziridine in Polymers: A Strategy to Functionalize

More information

Supporting Information for Polybenzimidazolium Salts: A New Class of. Anion-Conducting Polymer

Supporting Information for Polybenzimidazolium Salts: A New Class of. Anion-Conducting Polymer Supporting Information for Polybenzimidazolium Salts: A ew Class of Anion-Conducting Polymer Owen D. Thomas, Kristen J. W. Y. Soo, Timothy J. Peckham, Mahesh P. Kulkarni and Steven Holdcroft* Department

More information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool Uzodinma Okoroanyanwu, 1 Aiqin Jiang, 2 Kornelia Dittmar, 3 Torsten Fahr, 3 Thomas Laursen, 2 Obert Wood, 1 Kevin Cummings, 2 Christian

More information

Yujuan Zhou, Kecheng Jie and Feihe Huang*

Yujuan Zhou, Kecheng Jie and Feihe Huang* Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2017 A redox-responsive selenium-containing pillar[5]arene-based macrocyclic amphiphile: synthesis,

More information

M oore s law indicates that the number of transistors in integrated circuits should double about every two

M oore s law indicates that the number of transistors in integrated circuits should double about every two OPEN SUBJECT AREAS: NANOWIRES SURFACE PATTERNING LITHOGRAPHY Beyond EUV lithography: a comparative study of efficient photoresists performance Nassir Mojarad*, Jens Gobrecht & Yasin Ekinci Received 1 September

More information

Bulk ring-opening transesterification polymerization of the renewable δ-decalactone using

Bulk ring-opening transesterification polymerization of the renewable δ-decalactone using Bulk ring-opening transesterification polymerization of the renewable δ-decalactone using an organocatalyst Mark T. Martello, Adam Burns, and Marc Hillmyer* *Department of Chemistry, University of Minnesota,

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

A Parameter Extraction Framework for DUV Lithography Simulation

A Parameter Extraction Framework for DUV Lithography Simulation A Parameter Extraction Framework for DUV Lithography Simulation Nickhil Jakatdar 1, Junwei Bao, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of California at Berkeley,

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Electronic Supplementary Information

Electronic Supplementary Information Electronic Supplementary Information Influence of DNA-binding on the photochromic equilibrium of a chromene derivative Sergey V. Paramonov, Vladimir Lokshin, Heiko Ihmels, Olga A. Fedorova Contents: Synthesis

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

Synthesis of Random Copolymers Poly (methylmethacrylate-co-azo monomer) by ATRP-AGET

Synthesis of Random Copolymers Poly (methylmethacrylate-co-azo monomer) by ATRP-AGET Macromol. Symp. 2009, 283 284, 51 55 DI: 10.1002/masy.200950908 51 Synthesis of Random Copolymers Poly (methylmethacrylate-co-azo monomer) by ATRP-AGET M.A. ájera, L.E. Elizalde,* Y. Vázquez, G. de los

More information

MODIFICATION WITH A SULFONATE MONOMER

MODIFICATION WITH A SULFONATE MONOMER Thesis - MOLECULAR STRUCTURES AND FUNCTIONAL MODIFICATIONS OF POLY(VINYL ALCOHOL) CHAPTER 8 BY TOHEI MORITANI MODIFICATION WITH A SULFONATE MONOMER A functional monomer containing sodium sulfonate group,

More information

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material Journal of Photopolymer Science and Technology Volume 30, Number 1 (2017) 103-107 C 2017SPST Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material Mari Fukunaga

More information

Supplementary Information

Supplementary Information Supplementary Information Self-assembly of Metal-Polymer Analogues of Amphiphilic Triblock Copolymers 1 Zhihong Nie, 1 Daniele Fava, 1, 2, 3 Eugenia Kumacheva 1 Department of Chemistry, University of Toronto,

More information

Damage to Molecular Solids Irradiated by X-ray Laser Beam

Damage to Molecular Solids Irradiated by X-ray Laser Beam WDS'11 Proceedings of Contributed Papers, Part II, 247 251, 2011. ISBN 978-80-7378-185-9 MATFYZPRESS Damage to Molecular Solids Irradiated by X-ray Laser Beam T. Burian, V. Hájková, J. Chalupský, L. Juha,

More information

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XIV, SPIE Vol. 3049, pp. 706-711. It

More information

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry D. Frank Ogletree Molecular Foundry, Berkeley Lab Berkeley CA USA Our Berkeley Lab Team EUV Lithography and Pattern

More information

Scheme 1: Reaction scheme for the synthesis of p(an-co-mma) copolymer

Scheme 1: Reaction scheme for the synthesis of p(an-co-mma) copolymer Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2016 Design and Development of Poly (acrylonitrile-co-methyl methacrylate) Copolymer to Improve

More information

CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK

CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK 161 CHAPTER 7 SUMMARY OF THE PRESENT WORK AND SUGGESTIONS FOR FUTURE WORK 7.1 SUMMARY OF THE PRESENT WORK Nonlinear optical materials are required in a wide range of important applications, such as optical

More information

A Simple Model of Line-Edge Roughness

A Simple Model of Line-Edge Roughness A Simple Model of Line-Edge Roughness Chris A. Mack www.lithoguru.com Abstract A stochastic modeling approach is used to predict the results of the exposure and post-exposure bake of a chemically amplified

More information

Critical Dimension Uniformity using Reticle Inspection Tool

Critical Dimension Uniformity using Reticle Inspection Tool Critical Dimension Uniformity using Reticle Inspection Tool b Mark Wylie, b Trent Hutchinson, b Gang Pan, b Thomas Vavul, b John Miller, b Aditya Dayal, b Carl Hess a Mike Green, a Shad Hedges, a Dan Chalom,

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Advances in Resist Technology and Processing XVI, SPIE Vol. 3678, pp. 1-1011. It

More information

applied as UV protective films

applied as UV protective films Nanocomposite gels via in-situ photoinitiation and disassembly of TiO 2 -Clay composites with polymers applied as UV protective films Chuanan Liao, Qing Wu, Teng Su, Da Zhang, Qingsheng Wu and Qigang Wang*

More information

of Polystyrene 4-arm Stars Synthesized by RAFT- Mediated Miniemulsions.

of Polystyrene 4-arm Stars Synthesized by RAFT- Mediated Miniemulsions. Supporting Information to Narrow Molecular Weight and Particle Size Distributions of Polystyrene 4-arm Stars Synthesized by RAFT- Mediated Miniemulsions. Hazit A. Zayas, Nghia P. Truong, David Valade,

More information

Supporting Information

Supporting Information Supporting Information A Generic Method for Rational Scalable Synthesis of Monodisperse Metal Sulfide Nanocrystals Haitao Zhang, Byung-Ryool Hyun, Frank W. Wise, Richard D. Robinson * Department of Materials

More information

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers The combination of polymers and the high-energy charged particles with sufficiently high LET is the promising candidate for

More information

Leather Dyes Properties and Analysis

Leather Dyes Properties and Analysis Leather Dyes Properties and Analysis Campbell Page 1,*, Jens Fennen 1,Daniel Gagliardino 2 1 TFL Leather Technology Ltd, Postfach 264, CH-419 Basel, SWITZERLAD 2 TFL Argentina S.A., Cao de la Costa Brava

More information

Introduction to Polymerization Processes

Introduction to Polymerization Processes Introduction to Polymerization Processes Reference: Aspen Polymers: Unit Operations and Reaction Models, Aspen Technology, Inc., 2013. 1- Polymer Definition A polymer is a macromolecule made up of many

More information

Supporting information. and/or J -aggregation. Sergey V. Dayneko, Abby-Jo Payne and Gregory C. Welch*

Supporting information. and/or J -aggregation. Sergey V. Dayneko, Abby-Jo Payne and Gregory C. Welch* Supporting information Inverted P3HT:PC61BM organic solar cells incorporating a -extended squaraine dye with H- and/or J -aggregation. Sergey V. Dayneko, Abby-Jo Payne and Gregory C. Welch* Department

More information

Takeo Watanabe Center for EUVL, University of Hyogo

Takeo Watanabe Center for EUVL, University of Hyogo Soft X-ray Absorption Spectroscopy using SR for EUV Resist Chemical Reaction Analysis Takeo Watanabe Center for EUVL, University of Hyogo Outline 1) Background 2) Princple of X-ray absorption spectroscopy

More information