High-resolution EUV Microstepper tool for resist testing & technology evaluation

Size: px
Start display at page:

Download "High-resolution EUV Microstepper tool for resist testing & technology evaluation"

Transcription

1 High-resolution EUV Microstepper tool for resist testing & technology evaluation A Brunton, J Cashmore, P Elbourn, G Elliner, M Gower, P Grünewald, M Harman, S Hough, N McEntee, S Mundair, D Rees, P Richards, V Truffert, I Wallhead, M Whitfield Exitech Ltd, Oxford Industrial Park, Yarnton, Oxford OX5 1QU, England ABSTRACT Key features are presented of the Exitech MS-13 EUV Microstepper tool developed for EUV resist testing & technology evaluation at the 32nm node and beyond. Details of the tool design architecture, module layout, vacuum chamber, major subsystems including source, optics and performance specifications are given. Key Words: EUV lithography, Microsteppers 1. INTRODUCTION Using a reduced image field size at a similar optical resolution to that eventually intended to be adopted in production, the semiconductor industry uses Microsteppers to gain early learning on new technology nodes four to five years ahead of their introduction. Microsteppers allow manufacturers and researchers alike to develop and qualify new photoresists well ahead of when they are required for volume IC manufacturing. For example, processing an average of 50 wafers and 5-15 resist formulations a day since June 2000, the 157nm, 0.85NA, 15x reduction, 0.7mm field diameter Exitech MS- 157 Microstepper at the Resist Test Center of International SEMATECH (ISMT) has been a workhorse for the industry in qualifying 157nm F 2 laser lithography for manufacturing to the 45nm node. Microsteppers also allow researchers to investigate defect printability, test new reticle designs and fabricate prototype IC s at the node of interest as well as providing early learning on tool-related technology challenges associated with for example sources, optics, lens aberrations, imaging effects, materials, metrology, reticles, pellicles, photoresists, contamination, tool cost of ownership, reliability and lifetime. Main tool exposure chamber Wafer track Reticle access EUV source Xe discharge Z-pinch 35W/2 Wafer load robot & wafer prealigner Turbo molecular pump 3,000l/sec Wafer stage access Figure 1. MS-13 EUV Microstepper architecture. This paper describes key features of the Exitech MS-13 Microstepper, the world's first commercial high-resolution EUV exposure tool. The tool whose architecture is shown in Figure 1, is developed for EUV resist testing, technology evaluation and early learning at the 32nm node and beyond. Operating in a step-and-repeat exposure mode, the tool is

2 capable of printing x5 de-magnified high-resolution features from 6" EUV reflective reticles onto 200 or 300mm diameter wafers over an image field die size of 0.6x0.2mm. Exposures times for a 10mJ/cm 2 sensitivity photoresist are around 0.25sec/die. Details of the tool design architecture, module layouts, high vacuum chamber, major subsystems including performance specifications are presented below. 2. EUV TOOL CONCEPTS When imaging the same feature sizes with EUV or DUV photons the greater than tenfold reduction of EUV wavelength allows an equivalent reduction to be made to the mask imaging numerical aperture (NA) which in turn leads to almost an equivalent increase in the depth of focus (DOF) of the image. Making incremental increases to imaging NA provides EUV lithography (EUVL) with the resolution capability of covering many nodes beyond 32nm. Between EUVL was given a tremendous technology push by developments made by the EUV Limited Liability Company (EUV LLC) - a consortium formed by IC manufacturers AMD, IBM, Infineon, Intel, Micron and Motorola. By building relatively low numerical aperture (0.1NA), x10 microstepper and x4 full-field scanner systems (the Engineering Test Stand) and providing access to their exposure capabilities, work carried out by the EUV LLC at the Virtual National Laboratory (Lawrence Livermore, Sandia and Lawrence Berkeley National Laboratories) did much to establish the credibility of EUVL. The EUV LLC also provided valuable early learning in areas such as EUV source development, optics and coating fabrication, high accuracy interferometry and tool related issues such as contamination, EUV reticle and wafer handling. In developing the MS-13 Exitech licensed the intellectual property and the more than 100 related patents owned by the EUV LLC. Since all solids, liquids and gases are highly opaque to EUV radiation at 13.5nm, the source, beam delivery, imaging objective, reticle, wafer handling and stages in the tool must operate in a vacuum environment. Reflective rather than refractive optics must be used for optical beam transport, shaping and imaging. Normal incidence mirrors can be fabricated using phase-additive reflections from up to 100 layers of silicon and molybdenum (magnetron, ion or electron beam deposited) each only 3nm or about 15 atoms thick. Reticles themselves must be reflective with circuit features now defined by patterning a thin absorbing layer on top of the reflective multilayers. To replace the vacuum chucking methods used in more traditional atmospheric pressure DUV steppers, new techniques for wafer and reticle stage clamping must be developed compatible with an overall vacuum environment. Because EUV radiation is very aggressive at cracking most molecular species the products of which can contaminate and erode sensitive optical coatings, any hydrocarbons or water vapour in the vacuum chamber must be minimized. This requirement necessitates the tool chamber to have the cleanliness of an ultra-high vacuum (UHV) system. Since all highpower EUV sources are currently based on plasmas (laser-produced or gas discharge pinches), the potential for further optics contamination can also arise from the inherent heavy particle and charged ion debris such sources produce. Source debris must be contained by trap arrangements and kept well away from the imaging section of the tool. This is particularly difficult since a EUV source must be engineered to be integral to the tool body rather than being remote from it like an excimer laser source is with a DUV stepper. With most of their input energy converted to waste heat, incoherent EUV plasma-based sources are much less efficient generators of radiation than DUV excimer lasers. Efficient thermal management of excess heat from the source in both its radiative and conductive forms as well as effective damping of any source mechanical vibration are required if the ultimate imaging performance of the tool is to be achieved. Unlike DUV tools operating at atmospheric pressure, the high vacuum chambers required for EUV stepper operation make subsystems inaccessible for simple maintenance and servicing. A high degree of subsystem automation of appropriate vacuum, radiation, position and motion control together with their diagnostics are required to maintain tool functionality and serviceability. Compared to 248nm, 193nm and 157nm DUV exposure tools, the EUV spectral region at 13.5nm wavelength presents many complex challenges to the exposure tool builder which require entirely new engineering concepts be developed. 2. EUV SOURCE The Xe gas discharge Z-pinch plasma illumination source used in the MS-13 is shown in Fig. 2 and is manufactured by Xtreme Technologies GmbH. Its electrode structure is shown in Fig 2. At 1kHz repetition rate, approximately 3kW of radiation at all wavelengths is emitted by the source into 2L steradians of which 35W is contained within 2% bandwidth of the EUV wavelength at 13.5nm. Bursts of pulses with a duty cycle typically between 2-10% are used for resist exposures. The pulse-to-pulse stability of the EUV radiation from the source as measured at the reticle plane in the

3 MS-13 is shown in Fig 2(c). As can be seen, after relaying to the reticle by the collection and relay optics in the tool the 3x standard deviation (3N) of the EUV pulse intensity is 18.0%. (c) Test Data for Specification 8.1 Source Power Measurement (at Q2 quad dose sensor) (sigma ) = 18.0% Dose(mJ/cm 2 ) (c) Figure 2. EUV Z-pinch Xe gas plasma source electrode structure of pinch (c) Pulse-to-pulse EUV power measured for 10,000 pulses at the MS-13 reticle plane. Rep rate = 1kHz, 2% duty cycle; Bursts of 250 pulses. 3N deviation: 18.0% 3. EUV OPTICS 3.1 EUV imaging objective High-resolution imaging in the MS-13 Microstepper is accomplished using a 0.3NA, x5, 600x200µm field size objective of a two-mirror aspheric design developed by the EUV LLC under a contract from International SEMATECH. The objective and other elements of the EUV optical train are manufactured by Carl Zeiss SMT. The lens and its key parameters are shown in Figure 3. Wavelength 13.54nm. Fit to 6 CRA 13.5nm Numerical aperture 0.3 Form 2 mirror aspheric design Field size at wafer 0.6 x 0.2mm Demagnification 5x Mag anisotropy 10-3 due to reticle tilt Track length Wavefront error - design - manufactured T rms (0.42nm) <1.0nm rms Straylight < 10% including DUV Minimum CD 30nm dense lines & spaces Usable DOF 80nm for 30nm isolated lines 150nm for 50nm dense lines CD uniformity < 5nm TIR H-V bias < 3nm Linearity < 12% TFPD < 120nm Astigmatism < 50nm worse point Outgassing rates < 10-5 mbar l /sec; < 10-7 mbar l /sec C-H Pulse Count Figure 3. The EUV MET objective 3.2 EUV collection and illumination system As shown by the concept in Figure 4, EUV light collection from the source and uniform illumination of the reticle and lens entrance pupil is accomplished using a quasi-critical illumination scheme incorporating a four-shell nested Wolter-

4 type collector. A magnified defocused image of the source illuminates the reticle field plane while a de-magnified image of the output plane of the collector shells provides annular illumination of an intermediate pupil plane at which partial coherence apertures can be positioned. A de-magnified image of this pupil plane is then relayed into the entrance pupil of the objective. This design allows relatively simple high reflectivity mirrors (two spherical normal incidence and two plane folding) to be used within the beam delivery system and provides easy access to both pupil and field stop positions. Source Aperture stop positions Reticle Collector Field stop 2-Lens Condensor Field plane Entrance Pupil Gate valve Collector Module 2 Reticle Module 3 Source Module 1 Debris tube MET lens Normal incidence mirror Figure 4. Quasi-critical EUV illumination scheme used in MS-13. Courtesy of Carl Zeiss SMT. The four nested reflective collector shells which collect radiation over a solid angle of 0.55sr from the source are shown in Figure 5. The outer 3 shells are used for reticle illumination while the innermost is used to provide a secondary monitor of the EUV power for dose control and source diagnostic purposes. As shown in Figure 4, a water-cooled lamella structure mounted on the front of the collector shells is used to contain migration of particulate debris caused by electrode erosion from the plasma source. Wafer Field stop Figure 5. 4-shell nested Wolter collector. Front view. Debris mitigation device mounted on collector

5 The EUV illumination at the pupil plane taken with an off-axis CCD camera viewing the fluorescence from a scintillator screen is shown in Figure 6. The EUV intensity distribution at this plane, which is a de-magnified image of the collector exit plane, consists of three uniformly illuminated concentric rings that are further relayed into the entrance pupil of the centrally obscured objective. The picture in Fig 6 shows the shadowing caused by the spider mounting structure of the collector rings, the source debris mitigation lamella structure as well as obscuration by the deflection mirror used to pick off light in the inner ring used for source power monitoring. By inserting apertures at the pupil plane using the motorized wheel assembly shown in Fig 6, the partial coherence of the tool illumination can be varied. Five of the six positional settings on the wheel can be used to provide annular, quadrupole or dipole illumination with inner and outer coherence factors ranging between 0.36 and An EUV scintillator screen used for monitoring the pupil plane intensity distribution is located at the sixth wheel position. Figure 6. EUV intensity distribution at the pupil plane. Apparent slight ring ellipticity is caused by viewing with an off-axis camera. Pupil wheel structure used to control illumination partial coherence factor. A thin silicon membrane 'spectral purity filter' (SPF) positioned at the intermediate field stop is used to absorb out-ofband visible and deep-uv radiation emitted by the source. As well as filtering the EUV radiation the SPF also serves to isolate the source vacuum chamber and its operating conditions from the main tool exposure vacuum chamber. In addition it isolates the exposure chamber and the optics contained therein from heavy and charged particle debris produced by the source. Figure 7. Silicon membrane SPF window strip burst SPF's caused by excessive radiation-induced thermal loading. As shown in Figure 7, for ease of replacement these membranes are built into a motorized moveable window strip. Early experiments showed that if the thickness and material of the SPF are not commensurate with the thermal loading caused by absorption of out-of-band radiation from the source, the membrane windows can easily burst - see Fig 7.

6 4. TOOL SUBSYSTEMS 4.1 Tool body and platform To achieve low mechanical vibrations of the reticle-lens-wafer assembly during exposures, both active and passive vibration control systems are integrated with the tool chamber and subsystems. The exposure chamber, source and wafer loader are mounted on a common massive polymer concrete base. The exposure chamber is mounted on its own polymer concrete plinth that has a low Q, high stiffness and damping. Designed for low stage forces and short settle times the exposure chamber itself is also very stiff. Relatively 'quiet' magnetic-levitation turbo-molecular pumps are used for establishing and maintaining the high vacuum in the chamber. 4.2 Reticle-objective-wafer mounting assembly MET lens Collector Active vibration isolation mount Wafer Stage Exposure chamber plinth Figure 8. Tool core used for lens, reticle and wafer stage mounting As shown in Figure 8, a stiff invar conic shell structure isolated by three active vibration isolation mounts from the vacuum chamber, is used as a common core structure for mounting the imaging objective, the wafer and reticle stages. The active isolator mounts use air-spring and linear forcers to achieve an attenuation of -30dB at 10Hz. Detailed modelling by finite element analysis was used to engineer the structural modes and dynamics of this 'tool core' to provide a high resonant frequency (175Hz) structure with low displacements, high stiffness, light weight and very low thermal expansion properties. Its high gas conductance allows for efficient pumping and easy access to mounted subsystems. 4.3 Wafer and reticle stages Coarse and fine motion control of the reticle and wafer positions is achieved using two stacked sets of piezo-electric driven stage assemblies. The vacuum-compatible wafer stages in Figure 9 use multiple driver blocks each containing 8 piezo-ceramic finger walkers to achieve 450 x 200mm of travel and 20nm positional resolution. Fine 6-axis control with 2nm resolution over a 100µm range of the wafer and reticle motion is made using piezo-driven flexure stages mounted on top of these stages. Stage vibrations in the static exposure position were measured to be 9 3nm Piezo-flexure substage Main x-stage Chuck. Main y-stage Figure 9. Coarse and fine stage assemblies for wafer motion

7 4.4 Wafer and reticle chucks Because most designs of vacuum chucks are incompatible with operation in a vacuum environment, electrostatic chucks of a bipolar design are used to hold the wafers and reticles in the MS-13. By using advanced sensor electronics to monitor the proximity of the substrate to the surface, the grip voltage is optimized and substrate release can be facilitated in a few seconds using residual charge canceling methods. Over 40mm these ULE light-weighted chucks shown in Figure 10 had surface flatnesses of 250nm. Pin elevators were used to lift wafers from the chuck. Figure 10. Electrostatic 6" reticle chuck. FEA optimized light-weighted ULE chuck mounting structure. 4.5 Wafer and reticle loading As shown in Figure 11, 200mm or 300mm wafers are loaded from a wafer track into and out of the MS-13 using a vacuum cluster tool robot. For enabling tool testing without the use of a track an additional load lock was developed to allow separate manual loading of wafers. An in-vacuum wafer pre-aligner orientates wafers prior to loading into the load lock of the exposure chamber. Main transfer Manual load lock vacuum chamber Track load lock Wafer pre-aligner MS 13 Figure 11. Wafer loading robot Because of the reduced image field size of the objective, the tool is designed to be used with reticles containing up to a 5 x 3 array of subfield patterns which can be moved on precision stages into the exposure field. Coupled to its primary use as a tool for EUV resist testing, there is thus very little requirement for frequent reticle changes by users. Hence early in the design of the MS-13 it was decided to implement manual reticle loading. The arm for loading reticles into the vacuum load lock, then on into the tool chamber for releasing onto the electrostatic chuck is shown in Figure 12.

8 Figure 12. Reticle loader arm with EUV reticle loader arm interface to vacuum load lock and exposure chamber 4.6 EUV radiation monitoring Specially developed EUV radiation-hard silicon photodiodes with a thin deposited layer of zirconium to act as a spectral filter were used for monitoring the EUV beam intensity at key positions throughout the tool. As shown in Figure 13, for aligning the source and collector a quadrant diode sensor was used at the intermediate focus position. Reticle Quadrant sensors Figure 13. Quadrant sensors at at intermediate focus for aligning source & collector, at reticle for dose monitoring. Illumination uniformity at the reticle is measured using a scanning diode with a pinhole-restricted aperture. As shown in Fig 13, another quadrant sensor situated immediately below the reticle monitoring overspill EUV radiation immediately outside the object field is used for primary dose control. A further diode located near the pupil plane monitoring the EUV light collected by the inner shell of the collector is used for secondary dose control and source diagnostics. Detectors are cross-calibrated for exposure dose settings at the wafer level using another diode mounted at the edge of the wafer chuck. 4.7 Vacuum system & control Wherever possible ultra high vacuum (UHV) practices were adopted in the design and assembly of the tool in order to minimize the risk of optics contamination by EUV induced cracking of background hydrocarbons and water vapor. The tool is designed to operate at a base pressure of < 10-6 mbar with partial pressures of hydrocarbons < 10-9 mbar. Extensive outgassing tests were carried out on all subassemblies integrated inside the chamber. TransCalc (BOC-Edwards), VacTran, StarD and Monte Carlo software codes were used to carry out extensive modelling of all aspects of vacuum system during its design.

9 Figure 14. Vacuum layout of the tool and touch screen vacuum system control To contain any potential optics contamination arising from e.g. resist and cable insulation outgassing products, as shown in the layout in Figure 14 the main exposure chamber is divided by baffles into three zones - reticle, imaging objective and wafer, each of which is differential pumped with a 3,000l/sec turbo-molecular pump backed by a scroll pump. An additional three 1,300l/sec turbo pumps are used to pump the source gases (Xe and Ar buffer) from the source vacuum chamber which is physically isolated from the exposure chamber by the spectral purity filter. The vacuum system controller for the tool was manufactured by BOC-Edwards. Full automation, interfacing and sequencing control of gauges, pumps and valves was provided by a touch screen interface. For simplicity of manufacture, ease of assembly and access, the main exposure chamber itself was constructed in 4 sections. During its design, finite element analysis was carried out addressing issues such as modal response, stiffness, safety and pump-down distortion. 4.8 Tool control Tool control is uses a specially-developed man-machine interface based on Visual Basic software. Touch screens and keyboards operate the tool and provide diagnostics of all relevant process information. The processing parameters, including the exposure dose at each site and wafer location are selected by the operator using exposure editor GUI's. Basic software routines are provided for exposure tests such as step and repeat, focal position and exposure dose matrix scans, raster scans, etc. The GUI allows dose and focal scans to be easily set up with all information stored in separate data bases for later reference. The screen allows exposure sites to be 'drag and dropped' and show the most relevant process parameters alongside a graphical display of sites on the wafer. A variety of analysis tools in other GUI s provide for monitoring of the tool performance and environmental effects. Figure 15. Tool control console Exposure software editor

10 4.9 Tool enclosure The environmental chamber enclosing the tool and controlling its temperature stability to ± 0.1 o C is shown in Figure 16. Removable stainless steel panels allow easy access to subassemblies. Source Operator's console Wafer track Figure 16. MS-13 enclosure and environmental chamber 4.10 Tool assembly The MS-13 is assembled in a Class 1000 cleanroom. All parts inside the tool chamber were passed through a cleaning track comprising a combination of chemical, ultrasonic and thermal heat treatment cleaning procedures. Figure 17. Mid and top sections of exposure chamber Installation of surrogate lens into exposure chamber A surrogate MET lens was fabricated identical to the real imaging objective apart from the actuators not being installed and the mirror blanks not having any aspheric departure. This surrogate shown being loaded into the tool in Fig 17 was used to ensure good mechanical fit of the lens inside the tool and to enable separate opto-electro-mechanical subsystem testing to be performed without the risk of contaminating the real imaging objective. 5. TOOL SUBSYSTEM TESTING 5.1 Vacuum conditions The complete chamber integrated to the source, subsystem assemblies and diagnostics is shown in Figure 18.

11 Figure 18. Assembled MS-13 chamber and source. Residual gas analyzer spectrum of atomic masses >44amu after ~2 weeks of pumping fully populated exposure chamber Although the risk of optics contamination and the composition of other subsystem assemblies do not allow the populated chamber to be baked, after only a few days pumping the chamber reached a pressure of 3 x 10-7 mbar. After ~ 2 weeks pumping, as shown by the residual gas analyzer spectrum in Figure 18 the individual hydrocarbon partial pressures of masses > 44amu was < torr - further proof of the cleanliness and vacuum tightness of the chamber. 5.2 Autofocus repeatability The repeatability and error of the wafer autofocus system was measured by moving the wafer through focus in 50nm increments over a range of 0.7µm and measuring the difference between the wafer height set using the z-axis wafer stage encoder and the value taken from the silicon position-sensitive-detector used to detect the height of the wafer surface. A typical data set is shown in Figure 19. As can be seen, with a 3N deviation of only 15.6nm this autofocus repeatability error is very small. Focus error (nm) Wafer height (-m) 3 deviation = 15.6nm Figure 19. Measurements of the wafer autofocus repeatability error 5.3 Illumination Uniformity A high degree of illumination uniformity at the reticle and wafer planes is crucial for achieving the ultimate imaging performance of exposure tools. The time-averaged uniformity of the EUV radiation illuminating the reticle in the MS-13 is measured by scanning a EUV sensitive photodiode across the illumination area with a 200µm diameter pinhole obscuring its area of detection. In the quasi-critical illumination scheme the illumination at the reticle is a magnified image of the source emission. As can be seen by the isometric plot of the intensity distribution in Figure 20, over the restricted 1 x 3mm object field of the objective the uniformity is very good and for the case shown is < ±2.7%.

12 Figure 20. EUV intensity distribution measured at the reticle object field. 3 x 3mm field with 10 x 10 sites, 200µm pinhole, 500 pulses/site (2 bursts of 250 pulses); 500Hz, 10% duty cycle. Uniformity: < ±2.7% 5.4 Exposure dose control Accurate setting, control and reproducibility of the exposure dose are critical features for any photolithography exposure tool. Primary dose detection in the MS-13 is provided by silicon diode quadrant detectors that monitor EUV radiation overspill outside the object field at the reticle. Dose control at the exposure site is then provided by measuring the integrated dose accumulated on a pulse-by-pulse basis. Firing of the source is automatically commanded to stop when the dose set is achieved. For doses at the reticle in the range mJ/cm 2 corresponding to doses of approximately 1-100mJ/cm 2 at the wafer, Figure 21 shows the error between the set dose and the dose delivered. As can be seen this error is very small. For the data shown the 3N deviation is only 0.57%. As expected, larger errors are encountered at lower doses when fewer pulses are used for the integration - in this case below 1mJ/cm % 3 deviation = 0.57% Dose error (%) 1.5 % 1.0 % 0.5% <250 pulses/exposure 250 pulses/exposure 500Hz; 10% duty cycle 9 inner = 0.36; 9 outer = % Exposure Dose (mj/cm 2 ) Figure 21. Measurements of the error between exposure dose set and delivered. 6. SUMMARY This paper describes the key features of the Exitech MS-13 Microstepper, the world's first commercial high-resolution EUV exposure tool, developed for EUV resist testing & technology evaluation at the 32nm node and beyond. With robotic transfer of 200mm or 300mm wafers from a track through a vacuum load lock into and out of the tool chamber, the tool is capable of exposing 3 wafers an hour. The limited field size allows 15 different mask patterns on a single 6" EUV reticle to be accurately positioned in the exposure location. Wafer vibration, positioning resolution and autofocus repeatability tolerances prior to and during exposure have been measured to be in the nanometer range. EUV exposure dose control and illumination uniformity were measured to have excellent performance (< 0.2% and < ±2.7% respectively) and are consistent with production requirements for this technology. Thus far, the tool has operated for approximately 10M pulses of the source without any major problems being experienced. In particular, no changes to the performance of the EUV collector and illumination optics have been apparent. First high-resolution imaging and installation of an early MS-13 tool at the EUV Resist Test Center of International SEMATECH North in Albany, NY are scheduled for the second quarter of With its relatively large NA, the MS-13 Microstepper will help further the establishment of EUVL as a viable technology for the future manufacturing of IC s.

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node

157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node 157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node Toshifumi Suganaga*, Noriyoshi Kanda, Jae-Hwan KIM, Osamu Yamabe, Kunio Watanabe, Takamitsu Furukawa, Seiro Miyoshi and

More information

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the ASML PAS 5500 Stepper. All users are expected to have read and understood this

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES Entegris Edit -SEMI Draft Document (10-11-2006 Revision) NEW STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE 6 INCH EUV RETICLES This specification draft

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Actinic review of EUV masks: First results from the AIMS EUV system integration

Actinic review of EUV masks: First results from the AIMS EUV system integration Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein

More information

EUV lithography industrialization for HVM

EUV lithography industrialization for HVM EUV lithography industrialization for HVM Michael Lercel Director, Strategic Marketing, Tokyo Outline Slide 2 NXE Roadmap NXE:3400B performance Reticle front-side defectivity EUV source roadmap EUV extendibility

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact Fabrication BecomING an MTL Fab. User Internal MIT Users External Users Facilities Fab. staff MTL Orientation

More information

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography 1 Reference 1. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (2001) 2. - (2004) 3. Semiconductor Physics and Devices-

More information

Progress on ASML s EUV Alpha Demo Tool

Progress on ASML s EUV Alpha Demo Tool Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven,

More information

GA A22722 CENTRAL THOMSON SCATTERING UPGRADE ON DIII D

GA A22722 CENTRAL THOMSON SCATTERING UPGRADE ON DIII D GA A22722 CENTRAL THOMSON SCATTERING UPGRADE ON DIII D by D.G. NILSON, T.N. CARLSTROM, C.L. HSIEH, B.W. STALLARD, and R.E. STOCKDALE NOVEMBER 1997 DISCLAIMER This report was prepared as an account of work

More information

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source 3rd International EUVL Symposium NOVEMBER 1-4, 2004 Miyazaki, Japan Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi

More information

Evaluation at the intermediate focus for EUV Light Source

Evaluation at the intermediate focus for EUV Light Source Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

EUV-Technology with Discharge EUV-Lamp"

EUV-Technology with Discharge EUV-Lamp EUV-Technology with Discharge EUV-Lamp" Rainer Lebert, Larissa Juschkin, Christian Wies, Bernhard Jägle, Manfred Meisen, Ulrich Bieberle, Willi Neff, Juri Barthel, Konstantin Walter, Klaus Bergmann, Fraunhofer

More information

X-Rays From Laser Plasmas

X-Rays From Laser Plasmas X-Rays From Laser Plasmas Generation and Applications I. C. E. TURCU CLRC Rutherford Appleton Laboratory, UK and J. B. DANCE JOHN WILEY & SONS Chichester New York Weinheim Brisbane Singapore Toronto Contents

More information

EUV lithography and Source Technology

EUV lithography and Source Technology EUV lithography and Source Technology History and Present Akira Endo Hilase Project 22. September 2017 EXTATIC, Prague Optical wavelength and EUV (Extreme Ultraviolet) VIS 13.5nm 92eV Characteristics of

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

Overview of EUV Lithography and EUV Optics Contamination

Overview of EUV Lithography and EUV Optics Contamination Accelerating the next technology revolution Overview of EUV Lithography and EUV Optics Contamination Andrea Wüest NIST Contamination WS Gaithersburg, MD June 2, 2009 Copyright 2008 SEMATECH, Inc. SEMATECH,

More information

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007 Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative, and

More information

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Figure 1 below shows the generic process flow of an LELE method of double patterning. Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World,AIMS EUV Development Design Study Karl-Heinz Bechstein, Mathias Esselbach, Wolfgang Harnisch, Norbert Rosenkranz, Thomas Scherübl, Holger

More information

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application EUV light source plasma Tin icrodroplet Main pulse (CO2 laser pulse) Pre-pulse (Nd:YAG laser

More information

THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS

THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS INTRODUCTION In recent years, researchers involved in many unrelated scientific disciplines have acquired an interest in accurately

More information

EUVL Readiness for High Volume Manufacturing

EUVL Readiness for High Volume Manufacturing EUVL Readiness for High Volume Manufacturing Britt Turkot Intel Corporation Outline Exposure Tool Progress Power Availability Intel demo results Reticle Defectivity Pellicle Materials Conclusion 2 Source

More information

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 ASML NXE Pellicle progress update Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016 Contents Slide 2 Introduction: a look back at 2015 NXE Pellicle update Pellicle film development NXE Scanner

More information

Instrumentation and Operation

Instrumentation and Operation Instrumentation and Operation 1 STM Instrumentation COMPONENTS sharp metal tip scanning system and control electronics feedback electronics (keeps tunneling current constant) image processing system data

More information

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source 1 Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source D. Nakamura, T. Akiyama, K. Tamaru, A. Takahashi* and T. Okada Graduate School of Information Science and Electrical Engineering,

More information

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools Stephen F. Horne, Matthew M. Besen, Matthew J. Partlow, Donald K. Smith, Paul A. Blackborow, Deborah S. Gustafson Agenda Background

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography Alex I. Ershov, G.G. Padmabandu, Jeremy Tyler, Palash P. Das Cymer, Inc. 16750 Via Del Campo Court, San Diego, CA 92127

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Jumbo Cross Beam Ionizer

Jumbo Cross Beam Ionizer Jumbo Cross Beam Ionizer Version 1.0 September 30, 2008 Page 1 of 19 Table of Contents Cross Beam Ionizer... 1 Table of Contents... 2 1.0 Packing List... 3 1.1 Packing List for Cross Beam Ionizer... 3

More information

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup

Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup 1 Confocal Microscopy Imaging of Single Emitter Fluorescence and Hanbury Brown and Twiss Photon Antibunching Setup Abstract Jacob Begis The purpose of this lab was to prove that a source of light can be

More information

UNIMORPH DEFORMABLE MIRROR FOR TELESCOPES AND LASER APPLICATIONS IN SPACE

UNIMORPH DEFORMABLE MIRROR FOR TELESCOPES AND LASER APPLICATIONS IN SPACE UNIMORPH DEFORMABLE MIRROR FOR TELESCOPES AND LASER APPLICATIONS IN SPACE S. Verpoort and U. Wittrock Photonics Laboratory, Münster University of Applied Sciences, Stegerwaldstrasse 39, 48565 Steinfurt,

More information

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure A. Abdo, ab L. Capodieci, a I. Lalovic, a and R. Engelstad b a Advanced

More information

The ISIS Penning H - SPS and Diagnostic Developments at RAL

The ISIS Penning H - SPS and Diagnostic Developments at RAL The ISIS Penning H - SPS and Diagnostic Developments at RAL D.C. Faircloth 1, A.P. Letchford 1, J. Pozimski 1+2, M.O. Whitehead 1, T. Wood 1, S. Jolly 2, P. Savage 2, M. Haigh 3, J. Morrison 3, I. Yew

More information

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives Performance Enhancement of 157 nm Newtonian Catadioptric Objectives James Webb, Timothy Rich, Anthony Phillips and Jim Cornell Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450, 585-377-3200

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial Marks for EUV mask blanks Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White Fiducial marks are laser scribed on 200 mm wafers to enable defect registration on metrology

More information

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine Content EUV lithography: History and status EUV sources- historical perspective: Age of choice Age of Xe Age of Sn Age

More information

MEMS Metrology. Prof. Tianhong Cui ME 8254

MEMS Metrology. Prof. Tianhong Cui ME 8254 MEMS Metrology Prof. Tianhong Cui ME 8254 What is metrology? Metrology It is the science of weights and measures Refers primarily to the measurements of length, weight, time, etc. Mensuration- A branch

More information

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source Eric Gullikson Lawrence Berkeley National Laboratory 1 Reflectometry and Scattering Beamline (ALS 6.3.2) Commissioned Fall 1994

More information

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015 Photos placed in horizontal position with even amount of white space between photos and header Wolter Imaging On Z Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

ASML Approach to Euv Reticle Handling

ASML Approach to Euv Reticle Handling ASML Approach to Euv Reticle Handling Mask Workshop Antwerp Henk Meijer 3-October-2003 / Slide 1 Presentation Agenda Unique features of EUV reticles Contamination

More information

ORION NanoFab: An Overview of Applications. White Paper

ORION NanoFab: An Overview of Applications. White Paper ORION NanoFab: An Overview of Applications White Paper ORION NanoFab: An Overview of Applications Author: Dr. Bipin Singh Carl Zeiss NTS, LLC, USA Date: September 2012 Introduction With the advancement

More information

Defining quality standards for the analysis of solid samples

Defining quality standards for the analysis of solid samples Defining quality standards for the analysis of solid samples Thermo Scientific Element GD Plus Glow Discharge Mass Spectrometer Redefine your quality standards for the elemental analysis of solid samples

More information

Development of a Mask-Scan EB Mask Writing System

Development of a Mask-Scan EB Mask Writing System Development of a Mask-Scan EB Mask Writing System Munehiro OGASAWARA, Shinsuke NISHIMURA, Kiminobu AKENO, Soichiro. MITSUI, Mitsuko SHIMIZU, Hideo KUSAKABE, and Toru TOJO EUV Process Technology Research

More information

Praktikum zur. Materialanalytik

Praktikum zur. Materialanalytik Praktikum zur Materialanalytik Energy Dispersive X-ray Spectroscopy B513 Stand: 19.10.2016 Contents 1 Introduction... 2 2. Fundamental Physics and Notation... 3 2.1. Alignments of the microscope... 3 2.2.

More information

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society Mat. Res. Soc. Symp. Proc. Vol. 738 2003 Materials Research Society G7.26.1 Determination of the Plastic Behavior of Low Thermal Expansion Glass at the Nanometer Scale Richard Tejeda, 1 Roxann Engelstad,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources Kazutoshi Takenoshita, Chiew-Seng Koay, Somsak Teerawattansook, & Martin Richardson Laser Plasma Laboratory,

More information

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Introduction and Outline A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source Rainer Lebert, AIXUV Target Features of High Brightness EUV Source LPP Concept to reach Specification Target

More information

Visual Test Light Scattering Reticle. Users Guide

Visual Test Light Scattering Reticle. Users Guide Visual Test Light Scattering Reticle Users Guide Floppy Disk Contents Filename 4INVTW: 5INVTW: 6INVTW: 4", 5", and 6" reticle data for producing a Visual Test Wafer. This wafer contains both horizontal

More information

New advances in folded pathlength technology for Process Tunable Diode Laser Absorption Spectrometers (TDLAS)

New advances in folded pathlength technology for Process Tunable Diode Laser Absorption Spectrometers (TDLAS) New advances in folded pathlength technology for Process Tunable Diode Laser Absorption Spectrometers (TDLAS) Jean-Nicolas Adami, PhD Head of Strategic Product Group Gas Analytics Mettler-Toledo GmbH,

More information

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR (FIR) Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling

A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling A Multi-beamlet Injector for Heavy Ion Fusion: Experiments and Modeling G.A. Westenskow, D.P. Grote; LLNL J.W. Kwan, F. Bieniosek; LBNL PAC07 - FRYAB01 Albuquerque, New Mexico June 29, 2007 This work has

More information

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION Principles and Applications DAVID ATTWOOD UNIVERSITY OF CALIFORNIA, BERKELEY AND LAWRENCE BERKELEY NATIONAL LABORATORY CAMBRIDGE UNIVERSITY PRESS Contents

More information

HOW ADVANCED PYROMETERS INCREASE THERMAL PROCESS REPEATABILITY AND PRODUCT QUALITY

HOW ADVANCED PYROMETERS INCREASE THERMAL PROCESS REPEATABILITY AND PRODUCT QUALITY HOW ADVANCED PYROMETERS INCREASE THERMAL PROCESS REPEATABILITY AND PRODUCT QUALITY Accurate temperature measurement is key for controlling the stability and repeatability of many temperature-critical processes.

More information

Design Considerations for a Variable Angle Absolute Reflectance Accessory For the LAMBDA 950/850/650 UV/Vis/NIR and UV/Vis Spectrophotometers

Design Considerations for a Variable Angle Absolute Reflectance Accessory For the LAMBDA 950/850/650 UV/Vis/NIR and UV/Vis Spectrophotometers Design Considerations for a Variable Angle Absolute Reflectance Accessory For the LAMBDA 950/850/650 UV/Vis/NIR and UV/Vis Spectrophotometers UV/VIS AND UV/VIS/NIR SPECTROSCOPY A P P L I C A T I O N N

More information

Radionuclide Imaging MII Detection of Nuclear Emission

Radionuclide Imaging MII Detection of Nuclear Emission Radionuclide Imaging MII 3073 Detection of Nuclear Emission Nuclear radiation detectors Detectors that are commonly used in nuclear medicine: 1. Gas-filled detectors 2. Scintillation detectors 3. Semiconductor

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE

VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE Masaki Hosoda, Robert E. Parks, and James H. Burge College of Optical Sciences University of Arizona Tucson, Arizona 85721 OVERVIEW This paper

More information

REX Evaluation Guide. American Micro Detection Systems Inc March Lane, Suite 200 Stockton, CA 95219

REX Evaluation Guide. American Micro Detection Systems Inc March Lane, Suite 200 Stockton, CA 95219 REX Evaluation Guide American Micro Detection Systems Inc. 2800 March Lane, Suite 200 Stockton, CA 95219 I. INTRODUCTION REX (Real-time Elemental X-ray Fluorescence System) is the only instrument capable

More information

vacuum analysis plasma diagnostics surface science gas analysis

vacuum analysis plasma diagnostics surface science gas analysis Hiden EQP Systems High Sensitivity Mass and Energy Analysers for Monitoring, Control and Characterisation of Ions, Neutrals and Radicals in Plasma. vacuum analysis surface science gas analysis plasma diagnostics

More information

193 nm STEP AND SCAN LITHOGRAPHY

193 nm STEP AND SCAN LITHOGRAPHY 193 nm STEP AND SCAN LITHOGRAPHY Guy Davies, Judon Stoeldraijer, Barbra Heskamp, Jan Mulkens, Joost Sytsma, Hans Bakker ASML BV, De Run 111, 553 LA Veldhoven, The Netherlands Holger Glatzel, Christian

More information

JOHN R. SPORRE THESIS. Urbana, Illinois

JOHN R. SPORRE THESIS. Urbana, Illinois 2010 JOHN R. SPORRE DETECTION OF ENERGETIC NEUTRAL FLUX EMANATING FROM EXTREME ULTRAVIOLET LIGHT LITHOGRAPHY SOURCES BY JOHN R. SPORRE THESIS Submitted in partial fulfillment of the requirements for the

More information

Measuring Laser Diode Optical Power with an Integrating Sphere

Measuring Laser Diode Optical Power with an Integrating Sphere Measuring Laser Diode Optical Power with an Integrating Sphere Introduction Characterizing radiant sources like laser diodes accurately depends on the ability to measure their optical power output accurately.

More information

Fundamentals of Particle Counting

Fundamentals of Particle Counting Fundamentals of Particle Counting 1 Particle Counting: Remains the most significant technique for determining the cleanliness level of a fluid Useful as a tool for qualification and monitoring cleanroom

More information

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography Status and Key Challenges for HVM Implementation EUV Lithography Status and Key Challenges for HVM Implementation Sam Intel Corporation Moore s Law at Intel 10 Feature Size (um) 100 Cell Area (sq um) 1 10 0.5x every 2 years 0.1 1 0.01 1970 1980 1990

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

High Efficiency Collector for Laser Plasma EUV Source.

High Efficiency Collector for Laser Plasma EUV Source. University of Central Florida UCF Patents Patent High Efficiency Collector for Laser Plasma EUV Source. 7-11-2006 Jonathan Arenberg Northrop Grumman Corporation Find similar works at: http://stars.library.ucf.edu/patents

More information

Screening of basic resist materials and PAGs for EUV-Lithography

Screening of basic resist materials and PAGs for EUV-Lithography Screening of basic resist materials and PAGs for EUV-Lithography 1. Int. EUVL-Symposium, Dallas, TX Klaus Lowack, Wolf-Dieter Domke, liver Kirch, Karl Kragler, Heinz-Ulrich Scheunemann* Infineon Technologies

More information

Earlier Lecture. In the earlier lecture, we have seen non metallic sensors like Silicon diode, Cernox and Ruthenium Oxide.

Earlier Lecture. In the earlier lecture, we have seen non metallic sensors like Silicon diode, Cernox and Ruthenium Oxide. 41 1 Earlier Lecture In the earlier lecture, we have seen non metallic sensors like Silicon diode, Cernox and Ruthenium Oxide. Silicon diodes have negligible i 2 R losses. Cernox RTDs offer high response

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

AQA Physics /7408

AQA Physics /7408 AQA Physics - 7407/7408 Module 10: Medical physics You should be able to demonstrate and show your understanding of: 10.1 Physics of the eye 10.1.1 Physics of vision The eye as an optical refracting system,

More information

ISA QATAR : 90 th Seminar

ISA QATAR : 90 th Seminar ANALYTICAL INSTRUMENTATION & MAINTENANCE SYSTEMS (AIMS) ISA QATAR : 90 th Seminar UTILIZATION OF LASERS IN THE FIELD OF GAS ANALYZERS Presenter: ZAHEER JUDDY Electromagnetic Spectrum Electromagnetic Spectrum

More information

CHARA Meeting 2017 Pasadena, California

CHARA Meeting 2017 Pasadena, California MORE AUTOMATION Laszlo Sturmann M7 ACTUATORS LAB. LASER ALIGNMENT TELESCOPE OPTICAL ALIGNMENT NEW ACTUATORS REMOTELY ACTUATED M7 MOUNT MOTIVATION THE PRECISION OF THE COUDE ALIGNMENT WAS NOT SUFFICIENT

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Extrel is widely respected for the quality of mass spectrometer systems that are

Extrel is widely respected for the quality of mass spectrometer systems that are Extrel is widely respected for the quality of mass spectrometer systems that are available to the world's top research scientists. In response to increasing requests for complete turn-key systems built

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

A PRELIMINARY ALIGNMENT PLAN FOR RIA AT MSU

A PRELIMINARY ALIGNMENT PLAN FOR RIA AT MSU IWAA2004, CERN, Geneva, 4-7 October 2004 A PRELIMINARY ALIGNMENT PLAN FOR RIA AT MSU D. P. Sanderson, NSCL-MSU, 1 Cyclotron Lab, East Lansing, MI 48824, USA 1. INTRODUCTION The Rare Isotope Accelerator

More information

High Optical Density Photomasks For Large Exposure Applications

High Optical Density Photomasks For Large Exposure Applications High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging,

More information

Dynamic analysis of a piezoelectric ultrasonic motor With application to the design of a compact high-precision positioning stage

Dynamic analysis of a piezoelectric ultrasonic motor With application to the design of a compact high-precision positioning stage Department of Precision and Microsystems Engineering Dynamic analysis of a piezoelectric ultrasonic motor With application to the design of a compact high-precision positioning stage Name: Teunis van Dam

More information

Using Calibrated Specular Reflectance Standards for Absolute and Relative Reflectance Measurements

Using Calibrated Specular Reflectance Standards for Absolute and Relative Reflectance Measurements Using Calibrated Specular Reflectance Standards for Absolute and Relative Reflectance Measurements Applications Overview here are two fundamental techniques for measuring specular reflectance with a UV/VIS/NIR

More information

FIRST FIELD MEASUREMENTS AND DEVICE IMPROVEMENTS FOR MULTI SPECTRAL VOLUME SCATTERING METER (MVSM)

FIRST FIELD MEASUREMENTS AND DEVICE IMPROVEMENTS FOR MULTI SPECTRAL VOLUME SCATTERING METER (MVSM) FIRST FIELD MEASUREMENTS AND DEVICE IMPROVEMENTS FOR MULTI SPECTRAL VOLUME SCATTERING METER (MVSM) Ligi, Martin ; Reinart, Aivo ; Tõnnisson, Teofilus 2 ; Reinart, Anu. Tartu Observatory, Tõravere, Estonia,

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences

Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Infrastructure of Thin Films Laboratory in Institute of Molecular Physics Polish Academy of Sciences Outline Sample preparation Magnetron sputtering Ion-beam sputtering Pulsed laser deposition Electron-beam

More information

Secondary Ion Mass Spectrometry (SIMS)

Secondary Ion Mass Spectrometry (SIMS) CHEM53200: Lecture 10 Secondary Ion Mass Spectrometry (SIMS) Major reference: Surface Analysis Edited by J. C. Vickerman (1997). 1 Primary particles may be: Secondary particles can be e s, neutral species

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information