Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions

Size: px
Start display at page:

Download "Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions"

Transcription

1 ombinational logic Possible logic functions of two variables asic logic oolean algebra, proofs by re-writing, proofs by perfect induction Logic functions, truth tables, and switches NOT, N, OR, NN,, OR,..., minimal set Logic realization two-level logic and canonical forms, incompletely specified functions multi-level logic, converting between Ns and ORs Simplification uniting theorem transformations on networks of oolean functions Time behavior Hardware description languages There are 16 possible functions of 2 input variables: in general, there are 2**(2**n) functions of n inputs Y 16 possible functions (0 15) and Y Y Y xor Y or Y = Y nor Y not ( or Y) not Y 1 not nand Y not ( and Y) Winter 2001 SE370 - II - ombinational Logic 1 Winter 2001 SE370 - II - ombinational Logic 2 ost of different logic functions Minimal set of functions ifferent functions are easier or harder to implement each has a cost associated with the number of switches needed 0 (0) and 1 (15): require 0 switches, directly connect output to low/high (3) and Y (5): require 0 switches, output is one of inputs (12) and Y (10): require 2 switches for "inverter" or NOT-gate nor Y (4) and nand Y (14): require 4 switches or Y (7) and and Y (1): require 6 switches = Y (9) and Y (6): require 16 switches thus, because NOT,, and NN are the cheapest they are the functions we implement the most in practice an we implement all logic functions from NOT,, and NN? or example, implementing and Y is the same as implementing not ( nand Y) In fact, we can do it with only or only NN NOT is just a NN or a with both inputs tied together Y nor Y and NN and are "duals", Y nand Y that is, its easy to implement one using the other nand Y not ( (not ) nor (not Y) ) ut lets not move nory too fast... not ((not) nand (not Y) ) lets look at the mathematical foundation of logic Winter 2001 SE370 - II - ombinational Logic 3 Winter 2001 SE370 - II - ombinational Logic 4

2 n algebraic structure oolean algebra n algebraic structure consists of a set of elements binary operations { +, } and a unary operation { } such that the following axioms hold: 1. the set contains at least two elements: a, b 2. closure: a + b is in a b is in 3. commutativity: a + b = b + a a b = b a 4. associativity: a + (b + c) = (a + b) + c a (b c) = (a b) c 5. identity: a + 0 = a a 1 = a 6. distributivity: a + (b c) = (a + b) (a + c) a (b + c) = (a b) + (a c) 7. complementarity: a + a = 1 a a = 0 oolean algebra = {0, 1} variables + is logical OR, is logical N is logical NOT ll algebraic axioms hold Winter 2001 SE370 - II - ombinational Logic 5 Winter 2001 SE370 - II - ombinational Logic 6 Logic functions and oolean algebra xioms and theorems of oolean algebra ny logic function that can be expressed as a truth table can be written as an expression in oolean algebra using the operators:, +, and Y Y Y Y Y Y ( Y ) + ( Y ) ( Y ) + ( Y ) = Y , Y are oolean algebra variables Y Y oolean expression that is true when the variables and Y have the same value and false, otherwise identity = 1. 1 = null = = 0 idempotency: 3. + = 3. = involution: 4. ( ) = complementarity: 5. + = 1 5. = 0 commutativity: 6. + Y = Y + 6. Y = Y associativity: 7. ( + Y) + = + (Y + ) 7. ( Y) = (Y ) Winter 2001 SE370 - II - ombinational Logic 7 Winter 2001 SE370 - II - ombinational Logic 8

3 xioms and theorems of oolean algebra (cont d) xioms and theorems of oolean algebra (cont ) distributivity: 8. (Y + ) = ( Y) + ( ) 8. + (Y ) = ( + Y) ( + ) uniting: 9. Y + Y = 9. ( + Y) ( + Y ) = absorption: Y = 10. ( + Y) = 11. ( + Y ) Y = Y 11. ( Y ) + Y = + Y factoring: 12. ( + Y) ( + ) = 12. Y + = + Y ( + ) ( + Y) concensus: 13. ( Y) + (Y ) + ( ) = 13. ( + Y) (Y + ) ( + ) = Y + ( + Y) ( + ) de Morgan s: 14. ( + Y +...) = Y ( Y...) = + Y +... generalized de Morgan s: 15. f ( 1, 2,..., n,0,1,+, ) = f( 1, 2,..., n,1,0,,+) establishes relationship between and + Winter 2001 SE370 - II - ombinational Logic 9 Winter 2001 SE370 - II - ombinational Logic 10 xioms and theorems of oolean algebra (cont ) Proving theorems (rewriting) uality a dual of a oolean expression is derived by replacing by +, + by, 0 by 1, and 1 by 0, and leaving variables unchanged any theorem that can be proven is thus also proven for its dual! a meta-theorem (a theorem about theorems) duality: Y +... Y... generalized duality: 17. f ( 1, 2,..., n,0,1,+, ) f( 1, 2,..., n,1,0,,+) ifferent than demorgan s Law this is a statement about theorems this is not a way to manipulate (re-write) expressions Using the axioms of oolean algebra: e.g., prove the theorem: Y + Y = distributivity (8) Y + Y = (Y + Y ) complementarity (5) (Y + Y ) = (1) identity (1) (1) = e.g., prove the theorem: + Y = identity (1) + Y = 1 + Y distributivity (8) 1 + Y = (1 + Y) identity (2) (1 + Y) = (1) identity (1) (1) = Winter 2001 SE370 - II - ombinational Logic 11 Winter 2001 SE370 - II - ombinational Logic 12

4 ctivity Proving theorems (perfect induction) Prove the following using the laws of oolean algebra: ( Y) + (Y ) + ( ) = Y + Using perfect induction (complete truth table): e.g., de Morgan s: ( Y) + (Y ) + ( ) identity ( Y) + (1) (Y ) + ( ) complementarity ( Y) + ( + ) (Y ) + ( ) ( + Y) = Y is equivalent to N with inputs complemented Y Y ( + Y) Y distributivity ( Y) + ( Y ) + ( Y ) + ( ) associativity ( Y) + ( Y ) + ( Y ) + ( ) factoring ( Y) (1 + ) + ( ) (1 + Y) ( Y) = + Y NN is equivalent to OR with inputs complemented Y Y ( Y) + Y null ( Y) (1) + ( ) (1) identity ( Y) + ( ) Winter 2001 SE370 - II - ombinational Logic 13 Winter 2001 SE370 - II - ombinational Logic 14 simple example: 1-bit binary adder pply the theorems to simplify expressions Inputs:,, arry-in Outputs: Sum, arry-out in S out S out in S = in + in + in + in out = in + in + in + in The theorems of oolean algebra can simplify oolean expressions e.g., full adder s carry-out function (same rules apply to any function) out = in + in + in + in = in + in + in + in + in = in + in + in + in + in = ( + ) in + in + in + in = (1) in + in + in + in = in + in + in + in + in = in + in + in + in + in = in + ( + ) in + in + in = in + (1) in + in + in = in + in + (in + in) = in + in + (1) = in + in + adding extra terms creates new factoring opportunities Winter 2001 SE370 - II - ombinational Logic 15 Winter 2001 SE370 - II - ombinational Logic 16

5 ctivity ctivity ill in the truth-table for a circuit that checks that a 4-bit number is divisible by 2, 3, or y2 y3 y Write down oolean expressions for y2, y3, and y y2 y3 y y2 = = 1 y3 = y5 = Winter 2001 SE370 - II - ombinational Logic 17 Winter 2001 SE370 - II - ombinational Logic 18 rom oolean expressions to logic gates rom oolean expressions to logic gates (cont d) NOT ~ N Y Y Y OR + Y Y Y Y Y Y Y Y NN OR Y = Y Y Y Y Y Y Y Y Y xory = Y + Y or Y but not both ("inequality", "difference") xnory=y+ Y and Y are the same ("equality", "coincidence") Winter 2001 SE370 - II - ombinational Logic 19 Winter 2001 SE370 - II - ombinational Logic 20

6 rom oolean expressions to logic gates (cont d) Waveform view of logic functions More than one way to map expressions to gates e.g., = ( + ) = ( ( ( + ))) T2 T1 Just a sideways truth table but note how edges don t line up exactly it takes time for a gate to switch its output! time use of 3-input gate T1 T2 change in Y takes time to "propagate" through gates Winter 2001 SE370 - II - ombinational Logic 21 Winter 2001 SE370 - II - ombinational Logic 22 hoosing different realizations of a function Which realization is best? two-level realization (we don t count NOT gates) multi-level realization (gates with fewer inputs) Reduce number of inputs literal: input variable (complemented or not) can approximate cost of logic gate as 2 transitors per literal why not count inverters? fewer literals means less transistors smaller circuits fewer inputs implies faster gates gates are smaller and thus also faster fan-ins (# of gate inputs) are limited in some technologies Reduce number of gates fewer gates (and the packages they come in) means smaller circuits directly influences manufacturing costs OR gate (easier to draw but costlier to build) Winter 2001 SE370 - II - ombinational Logic 23 Winter 2001 SE370 - II - ombinational Logic 24

7 Which is the best realization? (cont d) re all realizations equivalent? Reduce number of levels of gates fewer level of gates implies reduced signal propagation delays minimum delay configuration typically requires more gates wider, less deep circuits How do we explore tradeoffs between increased circuit delay and size? automated tools to generate different solutions logic minimization: reduce number of gates and complexity logic optimization: reduction while trading off against delay Under the same input stimuli, the three alternative implementations have almost the same waveform behavior delays are different glitches (hazards) may arise variations due to differences in number of gate levels and structure The three implementations are functionally equivalent Winter 2001 SE370 - II - ombinational Logic 25 Winter 2001 SE370 - II - ombinational Logic 26 Implementing oolean functions anonical forms Technology independent canonical forms two-level forms multi-level forms Technology choices packages of a few gates regular logic two-level programmable logic multi-level programmable logic Truth table is the unique signature of a oolean function Many alternative gate realizations may have the same truth table anonical forms standard forms for a oolean expression provides a unique algebraic signature Winter 2001 SE370 - II - ombinational Logic 27 Winter 2001 SE370 - II - ombinational Logic 28

8 Sum-of-products canonical forms Sum-of-products canonical form (cont d) lso known as disjunctive normal form lso known as minterm expansion Product term (or minterm) Ned product of literals input combination for which output is true each variable appears exactly once, in true or inverted form (but not both) = = = + + Winter 2001 SE370 - II - ombinational Logic 29 minterms m m m m m m m m7 short-hand notation for minterms of 3 variables in canonical form: (,, ) = Σm(1,3,5,6,7) = m1 + m3 + m5 + m6 + m7 = canonical form minimal form (,, ) = = ( ) + = (( + )( + )) + = + = + = + Winter 2001 SE370 - II - ombinational Logic 30 Product-of-sums canonical form Product-of-sums canonical form (cont d) lso known as conjunctive normal form lso known as maxterm expansion Sum term (or maxterm) ORed sum of literals input combination for which output is false each variable appears exactly once, in true or inverted form (but not both) = = ( + + ) ( + + ) ( + + ) maxterms M M M M M M M M7 in canonical form: (,, ) = ΠM(0,2,4) = M0 M2 M4 = ( + + ) ( + + ) ( + + ) canonical form minimal form (,, ) = ( + + ) ( + + ) ( + + ) = ( + + ) ( + + ) ( + + ) ( + + ) = ( + ) ( + ) = ( + + ) ( + + ) ( + + ) ( + + ) ( + + ) Winter 2001 SE370 - II - ombinational Logic 31 short-hand notation for maxterms of 3 variables Winter 2001 SE370 - II - ombinational Logic 32

9 S-o-P, P-o-S, and de Morgan s theorem our alternative two-level implementations of = + Sum-of-products = + + pply de Morgan s ( ) = ( + + ) = ( + + ) ( + + ) ( + + ) 1 canonical sum-of-products minimized sum-of-products Product-of-sums = ( + + ) ( + + ) ( + + ) ( + + ) ( + + ) pply de Morgan s ( ) = ( ( + + )( + + )( + + )( + + )( + + ) ) = canonical product-of-sums minimized product-of-sums 4 Winter 2001 SE370 - II - ombinational Logic 33 Winter 2001 SE370 - II - ombinational Logic 34 Waveforms for the four alternatives Mapping between canonical forms Waveforms are essentially identical except for timing hazards (glitches) delays almost identical (modeled as a delay per level, not type of gate or number of inputs to gate) Minterm to maxterm conversion use maxterms whose indices do not appear in minterm expansion e.g., (,,) = Σm(1,3,5,6,7) = ΠM(0,2,4) Maxterm to minterm conversion use minterms whose indices do not appear in maxterm expansion e.g., (,,) = ΠM(0,2,4) = Σm(1,3,5,6,7) Minterm expansion of to minterm expansion of use minterms whose indices do not appear e.g., (,,) = Σm(1,3,5,6,7) (,,) = Σm(0,2,4) Maxterm expansion of to maxterm expansion of use maxterms whose indices do not appear e.g., (,,) = ΠM(0,2,4) (,,) = ΠM(1,3,5,6,7) Winter 2001 SE370 - II - ombinational Logic 35 Winter 2001 SE370 - II - ombinational Logic 36

10 Incompleteley specified functions Notation for incompletely specified functions Example: binary coded decimal increment by 1 digits encode the decimal digits 0 9 in the bit patterns W Y off-set of W on-set of W don t care () set of W these inputs patterns should never be encountered in practice "don t care" about associated output values, can be exploited in minimization on t cares and canonical forms so far, only represented on-set also represent don t-care-set need two of the three sets (on-set, off-set, dc-set) anonical representations of the increment by 1 function: = m0 + m2 + m4 + m6 + m8 + d10 + d11 + d12 + d13 + d14 + d15 = Σ [ m(0,2,4,6,8) + d(10,11,12,13,14,15) ] = M1 M3 M5 M7 M = Π [ M(1,3,5,7,9) (10,11,12,13,14,15) ] Winter 2001 SE370 - II - ombinational Logic 37 Winter 2001 SE370 - II - ombinational Logic 38 Simplification of two-level combinational logic The uniting theorem inding a minimal sum of products or product of sums realization exploit don t care information in the process lgebraic simplification not an algorithmic/systematic procedure how do you know when the minimum realization has been found? omputer-aided design tools precise solutions require very long computation times, especially for functions with many inputs (> 10) heuristic methods employed "educated guesses" to reduce amount of computation and yield good if not best solutions Hand methods still relevant to understand automatic tools and their strengths and weaknesses ability to check results (on small examples) Key tool to simplification: ( + ) = Essence of simplification of two-level logic find two element subsets of the ON-set where only one variable changes its value this single varying variable can be eliminated and a single product term used to represent both elements = + = ( +) = has the same value in both on-set rows remains has a different value in the two rows is eliminated Winter 2001 SE370 - II - ombinational Logic 39 Winter 2001 SE370 - II - ombinational Logic 40

11 Implementations of two-level logic Two-level logic using NN gates Sum-of-products N gates to form product terms (minterms) OR gate to form sum Replace minterm N gates with NN gates Place compensating inversion at inputs of OR gate Product-of-sums OR gates to form sum terms (maxterms) N gates to form product Winter 2001 SE370 - II - ombinational Logic 41 Winter 2001 SE370 - II - ombinational Logic 42 Two-level logic using NN gates (cont d) Two-level logic using gates OR gate with inverted inputs is a NN gate de Morgan s: + = ( ) Two-level NN-NN network inverted inputs are not counted in a typical circuit, inversion is done once and signal distributed Replace maxterm OR gates with gates Place compensating inversion at inputs of N gate Winter 2001 SE370 - II - ombinational Logic 43 Winter 2001 SE370 - II - ombinational Logic 44

12 Two-level logic using gates (cont d) Two-level logic using NN and gates N gate with inverted inputs is a gate de Morgan s: = ( + ) Two-level - network inverted inputs are not counted in a typical circuit, inversion is done once and signal distributed NN-NN and - networks de Morgan s law: ( + ) = ( ) = + written differently: + = ( ) ( ) = ( + ) In other words OR is the same as NN with complemented inputs N is the same as with complemented inputs NN is the same as OR with complemented inputs is the same as N with complemented inputs OR OR N N NN NN Winter 2001 SE370 - II - ombinational Logic 45 Winter 2001 SE370 - II - ombinational Logic 46 onversion between forms onversion between forms (cont d) onvert from networks of Ns and ORs to networks of NNs and s introduce appropriate inversions ("bubbles") Each introduced "bubble" must be matched by a corresponding "bubble" conservation of inversions do not alter logic function Example: N/OR to NN/NN Example: verify equivalence of two forms NN NN NN NN NN NN = [ ( ) ( ) ] = [ ( + ) ( + ) ] = [ ( + ) + ( + ) ] = ( ) + ( ) Winter 2001 SE370 - II - ombinational Logic 47 Winter 2001 SE370 - II - ombinational Logic 48

13 onversion between forms (cont d) onversion between forms (cont d) Example: map N/OR network to / network Example: verify equivalence of two forms conserve "bubbles" Step 1 \ \ \ \ Step 2 conserve "bubbles" \ \ \ \ = { [ ( + ) + ( + ) ] } = { ( + ) ( + ) } = ( + ) + ( + ) = ( ) + ( ) Winter 2001 SE370 - II - ombinational Logic 49 Winter 2001 SE370 - II - ombinational Logic 50 Multi-level logic onversion of multi-level logic to NN gates x = + E + + E + + E + G reduced sum-of-products form already simplified 6 x 3-input N gates + 1 x 7-input OR gate (that may not even exist!) 25 wires (19 literals plus 6 internal wires) x = ( + + ) ( + E) + G factored form not written as two-level S-o-P 1 x 3-input OR gate, 2 x 2-input OR gates, 1 x 3-input N gate 10 wires (7 literals plus 3 internal wires) = ( + ) + original N-OR network introduction and conservation of bubbles \ \ Level 1 Level 2 Level 3 Level 4 E G redrawn in terms of conventional NN gates \ \ Winter 2001 SE370 - II - ombinational Logic 51 Winter 2001 SE370 - II - ombinational Logic 52

14 onversion of multi-level logic to s onversion between forms = ( + ) + original N-OR network introduction and conservation of bubbles \ Level 1 Level 2 Level 3 Level 4 Example (a) original circuit add double bubbles at inputs (b) \ redrawn in terms of conventional gates \ \ \ (c) \ \ distribute bubbles some mismatches \ \ insert inverters to fix mismatches (d) \ Winter 2001 SE370 - II - ombinational Logic 53 Winter 2001 SE370 - II - ombinational Logic 54 N-OR-invert gates onversion to OI forms OI function: three stages of logic N, OR, Invert multiple gates "packaged" as a single circuit block logical concept N OR Invert 2x2 OI gate symbol + possible implementation NN NN Invert 3x2 OI gate symbol + General procedure to place in OI form compute the complement of the function in sum-of-products form by grouping the 0s in the Karnaugh map Example: OR implementation xor = + OI form: = ( + ) + Winter 2001 SE370 - II - ombinational Logic 55 Winter 2001 SE370 - II - ombinational Logic 56

15 Examples of using OI gates Examples of using OI gates (cont d) Example: = + + = + + Implemented by 2-input 3-stack OI gate = ( + ) ( + ) ( + ) = ( + ) ( + ) ( + ) Implemented by 2-input 3-stack OI gate Example: 4-bit equality function = ( )( )( )( ) Example: OI implementation of 4-bit equality function high if 0 0 low if 0 = 0 conservation of bubbles if all inputs are low then i = i, i=0,...,3 output is high each implemented in a single 2x2 OI gate Winter 2001 SE370 - II - ombinational Logic 57 Winter 2001 SE370 - II - ombinational Logic 58 Summary for multi-level logic Time behavior of combinational networks dvantages circuits may be smaller gates have smaller fan-in circuits may be faster isadvantages more difficult to design tools for optimization are not as good as for two-level analysis is more complex Waveforms visualization of values carried on signal wires over time useful in explaining sequences of events (changes in value) Simulation tools are used to create these waveforms input to the simulator includes gates and their connections input stimulus, that is, input signal waveforms Some terms gate delay time for change at input to cause change at output min delay typical/nominal delay max delay careful designers design for the worst case rise time time for output to transition from low to high voltage fall time time for output to transition from high to low voltage pulse width time that an output stays high or stays low between changes Winter 2001 SE370 - II - ombinational Logic 59 Winter 2001 SE370 - II - ombinational Logic 60

16 Momentary changes in outputs Oscillatory behavior an be useful pulse shaping circuits an be a problem incorrect circuit operation (glitches/hazards) Example: pulse shaping circuit = 0 delays matter in function nother pulse shaping circuit close switch resistor open switch + initially undefined open switch remains high for three gate delays after changes from low to high is not always 0 pulse 3 gate-delays wide Winter 2001 SE370 - II - ombinational Logic 61 Winter 2001 SE370 - II - ombinational Logic 62 Hardware description languages escribe hardware at varying levels of abstraction Structural description textual replacement for schematic hierarchical composition of modules from primitives ehavioral/functional description describe what module does, not how synthesis generates circuit for module Simulation semantics HLs bel (circa 1983) - developed by ata-i/o targeted to programmable logic devices not good for much more than state machines ISP (circa 1977) - research project at MU simulation, but no synthesis Verilog (circa 1985) - developed by Gateway (absorbed by adence) similar to Pascal and delays is only interaction with simulator fairly efficient and easy to write IEEE standard VHL (circa 1987) - o sponsored standard similar to da (emphasis on re-use and maintainability) simulation semantics visible very general but verbose IEEE standard Winter 2001 SE370 - II - ombinational Logic 63 Winter 2001 SE370 - II - ombinational Logic 64

17 Verilog Structural model Supports structural and behavioral descriptions Structural explicit structure of the circuit e.g., each logic gate instantiated and connected to others ehavioral program describes input/output behavior of circuit many structural implementations could have same behavior e.g., different implementation of one oolean function We ll only be using behavioral Verilog in esignworks rely on schematic when we want structural descriptions module xor_gate (out, a, b); input a, b; output out; wire abar, bbar, t1, t2; inverter inv (abar, a); inverter inv (bbar, b); and_gate and1 (t1, a, bbar); and_gate and2 (t2, b, abar); or_gate or1 (out, t1, t2); endmodule Winter 2001 SE370 - II - ombinational Logic 65 Winter 2001 SE370 - II - ombinational Logic 66 Simple behavioral model Simple behavioral model ontinuous assignment module xor_gate (out, a, b); input a, b; output out; reg out; assign #6 out = a ^ b; endmodule delay from input change to output change simulation register - keeps track of value of signal always block module xor_gate (out, a, b); input a, b; output out; reg out; or b) begin #6 out = a ^ b; end endmodule specifies when block is executed ie. triggered by which signals Winter 2001 SE370 - II - ombinational Logic 67 Winter 2001 SE370 - II - ombinational Logic 68

18 riving a simulation omplete Simulation module stimulus (x, y); output x, y; reg [1:0] cnt; 2-bit vector Instantiate stimulus component and device to test in a schematic initial begin cnt = 0; repeat (4) begin #10 cnt = cnt + 1; initial block executed only once at start of simulation $display ("@ time=%d, x=%b, y=%b, cnt=%b", $time, x, y, cnt); end #10 $finish; print to a console end x y a b z assign x = cnt[1]; assign y = cnt[0]; endmodule directive to stop simulation Winter 2001 SE370 - II - ombinational Logic 69 Winter 2001 SE370 - II - ombinational Logic 70 omparator Example More omplex ehavioral Model module ompare1 (,, Equal, larger, larger); input, ; output Equal, larger, larger; assign #5 Equal = ( ) (~ ~); assign #3 larger = ( ~); assign #3 larger = (~ ); endmodule module life (n0, n1, n2, n3, n4, n5, n6, n7, self, out); input n0, n1, n2, n3, n4, n5, n6, n7, self; output out; reg out; reg [7:0] neighbors; reg [3:0] count; reg [3:0] i; assign neighbors = {n7, n6, n5, n4, n3, n2, n1, n0}; or self) begin count = 0; for (i = 0; i < 8; i = i+1) count = count + neighbors[i]; out = (count == 3); out = out ((self == 1) (count == 2)); end endmodule Winter 2001 SE370 - II - ombinational Logic 71 Winter 2001 SE370 - II - ombinational Logic 72

19 Hardware escription Languages vs. Programming Languages Hardware escription Languages and ombinational Logic Program structure instantiation of multiple components of the same type specify interconnections between modules via schematic hierarchy of modules (only leaves can be HL in esignworks) ssignment continuous assignment (logic always computes) propagation delay (computation takes time) timing of signals is important (when does computation have its effect) ata structures size explicitly spelled out - no dynamic structures no pointers Parallelism hardware is naturally parallel (must support multiple threads) assignments can occur in parallel (not just sequentially) Modules - specification of inputs, outputs, bidirectional, and internal signals ontinuous assignment - a gate s output is a function of its inputs at all times (doesn t need to wait to be "called") Propagation delay- concept of time and delay in input affecting gate output omposition - connecting modules together with wires Hierarchy - modules encapsulate functional blocks Specification of don t care conditions (accomplished by setting output to x ) Winter 2001 SE370 - II - ombinational Logic 73 Winter 2001 SE370 - II - ombinational Logic 74 ombinational logic summary Logic functions, truth tables, and switches NOT, N, OR, NN,, OR,..., minimal set xioms and theorems of oolean algebra proofs by re-writing and perfect induction Gate logic networks of oolean functions and their time behavior anonical forms two-level and incompletely specified functions Simplification two-level simplification Later automation of simplification multi-level logic design case studies time behavior Winter 2001 SE370 - II - ombinational Logic 75

Working with combinational logic

Working with combinational logic Working with combinational logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and

More information

Possible logic functions of two variables

Possible logic functions of two variables ombinational logic asic logic oolean algebra, proofs by re-writing, proofs by perfect induction logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,..., minimal set Logic realization

More information

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions.

Combinational logic. Possible logic functions of two variables. Minimal set of functions. Cost of different logic functions. Combinational logic Possible logic functions of two variables Logic functions, truth tables, and switches NOT, ND, OR, NND, NOR, OR,... Minimal set xioms and theorems of oolean algebra Proofs by re-writing

More information

Combinational Logic (mostly review!)

Combinational Logic (mostly review!) ombinational Logic (mostly review!)! Logic functions, truth tables, and switches " NOT, N, OR, NN, NOR, OR,... " Minimal set! xioms and theorems of oolean algebra " Proofs by re-writing " Proofs by perfect

More information

EECS Variable Logic Functions

EECS Variable Logic Functions EECS150 Section 1 Introduction to Combinational Logic Fall 2001 2-Variable Logic Functions There are 16 possible functions of 2 input variables: in general, there are 2**(2**n) functions of n inputs X

More information

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1

Ch 2. Combinational Logic. II - Combinational Logic Contemporary Logic Design 1 Ch 2. Combinational Logic II - Combinational Logic Contemporary Logic Design 1 Combinational logic Define The kind of digital system whose output behavior depends only on the current inputs memoryless:

More information

Lecture A: Logic Design and Gates

Lecture A: Logic Design and Gates Lecture A: Logic Design and Gates Syllabus My office hours 9.15-10.35am T,Th or gchoi@ece.tamu.edu 333G WERC Text: Brown and Vranesic Fundamentals of Digital Logic,» Buy it.. Or borrow it» Other book:

More information

Chapter 2 Combinational logic

Chapter 2 Combinational logic Chapter 2 Combinational logic Chapter 2 is very easy. I presume you already took discrete mathemtics. The major part of chapter 2 is boolean algebra. II - Combinational Logic Copyright 24, Gaetano Borriello

More information

Combinational Logic. Review of Combinational Logic 1

Combinational Logic. Review of Combinational Logic 1 Combinational Logic! Switches -> Boolean algebra! Representation of Boolean functions! Logic circuit elements - logic gates! Regular logic structures! Timing behavior of combinational logic! HDLs and combinational

More information

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid

for Digital Systems Simplification of logic functions Tajana Simunic Rosing Sources: TSR, Katz, Boriello & Vahid SE140: omponents and Design Techniques for Digital Systems Simplification of logic functions Tajana Simunic Rosing 1 What we covered thus far: Number representations Where we are now inary, Octal, Hex,

More information

Working with Combinational Logic. Design example: 2x2-bit multiplier

Working with Combinational Logic. Design example: 2x2-bit multiplier Working with ombinational Logic Simplification two-level simplification exploiting don t cares algorithm for simplification Logic realization two-level logic and canonical forms realized with NNs and NORs

More information

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS

2009 Spring CS211 Digital Systems & Lab CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS CHAPTER 2: INTRODUCTION TO LOGIC CIRCUITS What will we learn? 2 Logic functions and circuits Boolean Algebra Logic gates and Synthesis CAD tools and VHDL Read Section 2.9 and 2.0 Terminology 3 Digital

More information

Switches: basic element of physical implementations

Switches: basic element of physical implementations Combinational logic Switches Basic logic and truth tables Logic functions Boolean algebra Proofs by re-writing and by perfect induction Winter 200 CSE370 - II - Boolean Algebra Switches: basic element

More information

Chapter 2: Switching Algebra and Logic Circuits

Chapter 2: Switching Algebra and Logic Circuits Chapter 2: Switching Algebra and Logic Circuits Formal Foundation of Digital Design In 1854 George Boole published An investigation into the Laws of Thoughts Algebraic system with two values 0 and 1 Used

More information

Chapter # 3: Multi-Level Combinational Logic

Chapter # 3: Multi-Level Combinational Logic hapter # 3: Multi-Level ombinational Logic ontemporary Logic esign Randy H. Katz University of alifornia, erkeley June 993 No. 3- hapter Overview Multi-Level Logic onversion to NN-NN and - Networks emorgan's

More information

CS 226: Digital Logic Design

CS 226: Digital Logic Design CS 226: Digital Logic Design 0 1 1 I S 0 1 0 S Department of Computer Science and Engineering, Indian Institute of Technology Bombay. 1 of 29 Objectives In this lecture we will introduce: 1. Logic functions

More information

EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive

EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive EECS150 - Digital Design Lecture 19 - Combinational Logic Circuits : A Deep Dive March 30, 2010 John Wawrzynek Spring 2010 EECS150 - Lec19-cl1 Page 1 Boolean Algebra I (Representations of Combinational

More information

Combinational Logic Design Principles

Combinational Logic Design Principles Combinational Logic Design Principles Switching algebra Doru Todinca Department of Computers Politehnica University of Timisoara Outline Introduction Switching algebra Axioms of switching algebra Theorems

More information

Week-I. Combinational Logic & Circuits

Week-I. Combinational Logic & Circuits Week-I Combinational Logic & Circuits Overview Binary logic operations and gates Switching algebra Algebraic Minimization Standard forms Karnaugh Map Minimization Other logic operators IC families and

More information

Review. EECS Components and Design Techniques for Digital Systems. Lec 06 Minimizing Boolean Logic 9/ Review: Canonical Forms

Review. EECS Components and Design Techniques for Digital Systems. Lec 06 Minimizing Boolean Logic 9/ Review: Canonical Forms Review EECS 150 - Components and Design Techniques for Digital Systems Lec 06 Minimizing Boolean Logic 9/16-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

Learning Objectives. Boolean Algebra. In this chapter you will learn about:

Learning Objectives. Boolean Algebra. In this chapter you will learn about: Ref. Page Slide /78 Learning Objectives In this chapter you will learn about: oolean algebra Fundamental concepts and basic laws of oolean algebra oolean function and minimization Logic gates Logic circuits

More information

Combinational Logic Design

Combinational Logic Design PEN 35 - igital System esign ombinational Logic esign hapter 3 Logic and omputer esign Fundamentals, 4 rd Ed., Mano 2008 Pearson Prentice Hall esign oncepts and utomation top-down design proceeds from

More information

Boolean cubes EECS150. Mapping truth tables onto cubes. Simplification. The Uniting Theorem. Three variable example

Boolean cubes EECS150. Mapping truth tables onto cubes. Simplification. The Uniting Theorem. Three variable example EES5 Section 5 Simplification and State Minimization Fall 2 -cube X oolean cubes Visual technique for indentifying when the uniting theorem can be applied n input variables = n-dimensional "cube" Y 2-cube

More information

Slide Set 3. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary

Slide Set 3. for ENEL 353 Fall Steve Norman, PhD, PEng. Electrical & Computer Engineering Schulich School of Engineering University of Calgary Slide Set 3 for ENEL 353 Fall 2016 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary Fall Term, 2016 SN s ENEL 353 Fall 2016 Slide Set 3 slide

More information

Goals for Lecture. Binary Logic and Gates (MK 2.1) Binary Variables. Notation Examples. Logical Operations

Goals for Lecture. Binary Logic and Gates (MK 2.1) Binary Variables. Notation Examples. Logical Operations Introduction to Electrical Engineering, II LETURE NOTES #2 Instructor: Email: Telephone: Office: ndrew. Kahng (lecture) abk@ucsd.edu 858-822-4884 office 3802 P&M lass Website: http://vlsicad.ucsd.edu/courses/ece20b/wi04/

More information

Combinatorial Logic Design Principles

Combinatorial Logic Design Principles Combinatorial Logic Design Principles ECGR2181 Chapter 4 Notes Logic System Design I 4-1 Boolean algebra a.k.a. switching algebra deals with boolean values -- 0, 1 Positive-logic convention analog voltages

More information

Logic Design. Chapter 2: Introduction to Logic Circuits

Logic Design. Chapter 2: Introduction to Logic Circuits Logic Design Chapter 2: Introduction to Logic Circuits Introduction Logic circuits perform operation on digital signal Digital signal: signal values are restricted to a few discrete values Binary logic

More information

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT):

II. COMBINATIONAL LOGIC DESIGN. - algebra defined on a set of 2 elements, {0, 1}, with binary operators multiply (AND), add (OR), and invert (NOT): ENGI 386 Digital Logic II. COMBINATIONAL LOGIC DESIGN Combinational Logic output of digital system is only dependent on current inputs (i.e., no memory) (a) Boolean Algebra - developed by George Boole

More information

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both).

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both). hapter : oolean lgebra.) Definition of oolean lgebra The oolean algebra is named after George ool who developed this algebra (854) in order to analyze logical problems. n example to such problem is: Prove

More information

L2: Combinational Logic Design (Construction and Boolean Algebra)

L2: Combinational Logic Design (Construction and Boolean Algebra) L2: Combinational Logic Design (Construction and Boolean Algebra) Acknowledgements: Lecture material adapted from Chapter 2 of R. Katz, G. Borriello, Contemporary Logic Design (second edition), Pearson

More information

L2: Combinational Logic Design (Construction and Boolean Algebra)

L2: Combinational Logic Design (Construction and Boolean Algebra) L2: Combinational Logic Design (Construction and oolean lgebra) cknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of

More information

Show that the dual of the exclusive-or is equal to its compliment. 7

Show that the dual of the exclusive-or is equal to its compliment. 7 Darshan Institute of ngineering and Technology, Rajkot, Subject: Digital lectronics (2300) GTU Question ank Unit Group Questions Do as directed : I. Given that (6)0 = (00)x, find the value of x. II. dd

More information

CHAPTER1: Digital Logic Circuits Combination Circuits

CHAPTER1: Digital Logic Circuits Combination Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits Combination Circuits 1 PRIMITIVE LOGIC GATES Each of our basic operations can be implemented in hardware using a primitive logic gate.

More information

control in out in out Figure 1. Binary switch: (a) opened or off; (b) closed or on.

control in out in out Figure 1. Binary switch: (a) opened or off; (b) closed or on. Chapter 2 Digital Circuits Page 1 of 18 2. Digital Circuits Our world is an analog world. Measurements that we make of the physical objects around us are never in discrete units but rather in a continuous

More information

Boolean Algebra. Boolean Variables, Functions. NOT operation. AND operation. AND operation (cont). OR operation

Boolean Algebra. Boolean Variables, Functions. NOT operation. AND operation. AND operation (cont). OR operation oolean lgebra asic mathematics for the study of logic design is oolean lgebra asic laws of oolean lgebra will be implemented as switching devices called logic gates. Networks of Logic gates allow us to

More information

L2: Combinational Logic Design (Construction and Boolean Algebra)

L2: Combinational Logic Design (Construction and Boolean Algebra) L2: Combinational Logic Design (Construction and oolean lgebra) cknowledgements: Lecture material adapted from Chapter 2 of R. Katz, G. orriello, Contemporary Logic Design (second edition), Pearson Education,

More information

Digital Circuit And Logic Design I. Lecture 3

Digital Circuit And Logic Design I. Lecture 3 Digital Circuit And Logic Design I Lecture 3 Outline Combinational Logic Design Principles (). Introduction 2. Switching algebra 3. Combinational-circuit analysis 4. Combinational-circuit synthesis Panupong

More information

ENG2410 Digital Design Combinational Logic Circuits

ENG2410 Digital Design Combinational Logic Circuits ENG240 Digital Design Combinational Logic Circuits Fall 207 S. Areibi School of Engineering University of Guelph Binary variables Binary Logic Can be 0 or (T or F, low or high) Variables named with single

More information

Contents. Chapter 2 Digital Circuits Page 1 of 30

Contents. Chapter 2 Digital Circuits Page 1 of 30 Chapter 2 Digital Circuits Page 1 of 30 Contents Contents... 1 2 Digital Circuits... 2 2.1 Binary Numbers... 2 2.2 Binary Switch... 4 2.3 Basic Logic Operators and Logic Expressions... 5 2.4 Truth Tables...

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

Unit 8A Computer Organization. Boolean Logic and Gates

Unit 8A Computer Organization. Boolean Logic and Gates Unit 8A Computer Organization Boolean Logic and Gates Announcements Bring ear buds or headphones to lab! 15110 Principles of Computing, Carnegie Mellon University - CORTINA 2 Representing and Manipulating

More information

EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits)

EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) September 5, 2002 John Wawrzynek Fall 2002 EECS150 Lec4-bool1 Page 1, 9/5 9am Outline Review of

More information

CSC9R6 Computer Design. Practical Digital Logic

CSC9R6 Computer Design. Practical Digital Logic CSC9R6 Computer Design Practical Digital Logic 1 References (for this part of CSC9R6) Hamacher et al: Computer Organization App A. In library Floyd: Digital Fundamentals Ch 1, 3-6, 8-10 web page: www.prenhall.com/floyd/

More information

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS 1) Given the two binary numbers X = 1010100 and Y = 1000011, perform the subtraction (a) X -Y and (b) Y - X using 2's complements. a) X = 1010100

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates Digital Circuits & Systems Lecture Transparencies (Boolean lgebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean lgebra & Logic Gates Major topics Boolean algebra NND & NOR gates Boolean algebra

More information

14:332:231 DIGITAL LOGIC DESIGN

14:332:231 DIGITAL LOGIC DESIGN :: DIGITAL LOGIC DESIGN Ivan Marsic, Rutgers University Electrical & Computer Engineering Fall Lecture #: Combinational Circuit Synthesis II hat if we have input variables? V = V = of Example with variables

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Chapter 2 Boolean Algebra and Logic Gates The most common postulates used to formulate various algebraic structures are: 1. Closure. N={1,2,3,4 }, for any a,b N we obtain a unique c N by the operation

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev E&CE 223 Digital Circuits & Systems Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean Algebra & Logic Gates Major topics Boolean algebra NAND & NOR gates Boolean

More information

4 Switching Algebra 4.1 Axioms; Signals and Switching Algebra

4 Switching Algebra 4.1 Axioms; Signals and Switching Algebra 4 Switching Algebra 4.1 Axioms; Signals and Switching Algebra To design a digital circuit that will perform a required function, it is necessary to manipulate and combine the various input signals in certain

More information

Outline. EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) Combinational Logic (CL) Defined

Outline. EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) Combinational Logic (CL) Defined EECS150 - Digital Design Lecture 4 - Boolean Algebra I (Representations of Combinational Logic Circuits) January 30, 2003 John Wawrzynek Outline Review of three representations for combinational logic:

More information

Chapter 3. Boolean Algebra. (continued)

Chapter 3. Boolean Algebra. (continued) Chapter 3. Boolean Algebra (continued) Algebraic structure consisting of: set of elements B binary operations {+, -} unary operation {'} Boolean Algebra such that the following axioms hold:. B contains

More information

Every time has a value associated with it, not just some times. A variable can take on any value within a range

Every time has a value associated with it, not just some times. A variable can take on any value within a range Digital Logic Circuits Binary Logic and Gates Logic Simulation Boolean Algebra NAND/NOR and XOR gates Decoder fundamentals Half Adder, Full Adder, Ripple Carry Adder Analog vs Digital Analog Continuous»

More information

Logic Gates and Boolean Algebra

Logic Gates and Boolean Algebra Logic Gates and oolean lgebra The ridge etween Symbolic Logic nd Electronic Digital Computing Compiled y: Muzammil hmad Khan mukhan@ssuet.edu.pk asic Logic Functions and or nand nor xor xnor not 2 Logic

More information

CSE370 HW3 Solutions (Winter 2010)

CSE370 HW3 Solutions (Winter 2010) CSE370 HW3 Solutions (Winter 2010) 1. CL2e, 4.9 We are asked to implement the function f(a,,c,,e) = A + C + + + CE using the smallest possible multiplexer. We can t use any extra gates or the complement

More information

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman

CS 121 Digital Logic Design. Chapter 2. Teacher Assistant. Hanin Abdulrahman CS 121 Digital Logic Design Chapter 2 Teacher Assistant Hanin Abdulrahman 1 2 Outline 2.2 Basic Definitions 2.3 Axiomatic Definition of Boolean Algebra. 2.4 Basic Theorems and Properties 2.5 Boolean Functions

More information

Chapter 5. Digital systems. 5.1 Boolean algebra Negation, conjunction and disjunction

Chapter 5. Digital systems. 5.1 Boolean algebra Negation, conjunction and disjunction Chapter 5 igital systems digital system is any machine that processes information encoded in the form of digits. Modern digital systems use binary digits, encoded as voltage levels. Two voltage levels,

More information

Computer Organization: Boolean Logic

Computer Organization: Boolean Logic Computer Organization: Boolean Logic Representing and Manipulating Data Last Unit How to represent data as a sequence of bits How to interpret bit representations Use of levels of abstraction in representing

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT2: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 2 Following the slides of Dr. Ahmed H. Madian ذو الحجة 438 ه Winter

More information

UC Berkeley College of Engineering, EECS Department CS61C: Representations of Combinational Logic Circuits

UC Berkeley College of Engineering, EECS Department CS61C: Representations of Combinational Logic Circuits 2 Wawrzynek, Garcia 2004 c UCB UC Berkeley College of Engineering, EECS Department CS61C: Representations of Combinational Logic Circuits 1 Introduction Original document by J. Wawrzynek (2003-11-15) Revised

More information

COSC3330 Computer Architecture Lecture 2. Combinational Logic

COSC3330 Computer Architecture Lecture 2. Combinational Logic COSC333 Computer rchitecture Lecture 2. Combinational Logic Instructor: Weidong Shi (Larry), PhD Computer Science Department University of Houston Today Combinational Logic oolean lgebra Mux, DeMux, Decoder

More information

Why digital? Overview. Number Systems. Binary to Decimal conversion

Why digital? Overview. Number Systems. Binary to Decimal conversion Why digital? Overview It has the following advantages over analog. It can be processed and transmitted efficiently and reliably. It can be stored and retrieved with greater accuracy. Noise level does not

More information

Chapter 4 Optimized Implementation of Logic Functions

Chapter 4 Optimized Implementation of Logic Functions Chapter 4 Optimized Implementation of Logic Functions Logic Minimization Karnaugh Maps Systematic Approach for Logic Minimization Minimization of Incompletely Specified Functions Tabular Method for Minimization

More information

Chapter 2: Boolean Algebra and Logic Gates

Chapter 2: Boolean Algebra and Logic Gates Chapter 2: Boolean Algebra and Logic Gates Mathematical methods that simplify binary logics or circuits rely primarily on Boolean algebra. Boolean algebra: a set of elements, a set of operators, and a

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

EC-121 Digital Logic Design

EC-121 Digital Logic Design EC-121 Digital Logic Design Lecture 2 [Updated on 02-04-18] Boolean Algebra and Logic Gates Dr Hashim Ali Spring 2018 Department of Computer Science and Engineering HITEC University Taxila!1 Overview What

More information

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life...

Part 1: Digital Logic and Gates. Analog vs. Digital waveforms. The digital advantage. In real life... Part 1: Digital Logic and Gates Analog vs Digital waveforms An analog signal assumes a continuous range of values: v(t) ANALOG A digital signal assumes discrete (isolated, separate) values Usually there

More information

12/31/2010. Overview. 05-Boolean Algebra Part 3 Text: Unit 3, 7. DeMorgan s Law. Example. Example. DeMorgan s Law

12/31/2010. Overview. 05-Boolean Algebra Part 3 Text: Unit 3, 7. DeMorgan s Law. Example. Example. DeMorgan s Law Overview 05-oolean lgebra Part 3 Text: Unit 3, 7 EEGR/ISS 201 Digital Operations and omputations Winter 2011 DeMorgan s Laws lgebraic Simplifications Exclusive-OR and Equivalence Functionally omplete NND-NOR

More information

Administrative Notes. Chapter 2 <9>

Administrative Notes. Chapter 2 <9> Administrative Notes Note: New homework instructions starting with HW03 Homework is due at the beginning of class Homework must be organized, legible (messy is not), and stapled to be graded Chapter 2

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

More information

DO NOT COPY DO NOT COPY

DO NOT COPY DO NOT COPY Drill Problems 3 benches. Another practical book is VHDL for Programmable Logic, by Kevin Skahill of Cypress Semiconductor (Addison-esley, 1996). All of the ABEL and VHDL examples in this chapter and throughout

More information

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation

Digital- or Logic Circuits. Outline Logic Circuits. Logic Voltage Levels. Binary Representation Outline Logic ircuits Introduction Logic Systems TTL MOS Logic Gates NOT, OR, N NOR, NN, XOR Implementation oolean lgebra ombinatorial ircuits Multipleer emultipleer rithmetic ircuits Simplifying Logic

More information

211: Computer Architecture Summer 2016

211: Computer Architecture Summer 2016 211: Computer Architecture Summer 2016 Liu Liu Topic: Storage Project3 Digital Logic - Storage: Recap - Review: cache hit rate - Project3 - Digital Logic: - truth table => SOP - simplification: Boolean

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Chapter 2 - Part 1 2 Chapter 2 - Part 1 3 Chapter 2 - Part 1 4 Chapter 2 - Part

More information

Logic Design Combinational Circuits. Digital Computer Design

Logic Design Combinational Circuits. Digital Computer Design Logic Design Combinational Circuits Digital Computer Design Topics Combinational Logic Karnaugh Maps Combinational uilding locks Timing 2 Logic Circuit logic circuit is composed of: Inputs Outputs Functional

More information

CS61c: Representations of Combinational Logic Circuits

CS61c: Representations of Combinational Logic Circuits CS61c: Representations of Combinational Logic Circuits J. Wawrzynek March 5, 2003 1 Introduction Recall that synchronous systems are composed of two basic types of circuits, combination logic circuits,

More information

Slides for Lecture 10

Slides for Lecture 10 Slides for Lecture 10 ENEL 353: Digital Circuits Fall 2013 Term Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary 30 September, 2013 ENEL 353

More information

Digital Design. Digital Design

Digital Design. Digital Design Principles Of Digital Design Chapter 3 Boolean Algebra and Logic Design Boolean Algebra Logic Gates Digital Design Implementation Technology ASICs Gate Arrays Basic Algebraic Properties A set is a collection

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Ch1: Digital Systems and Binary Numbers Ch2: Ch3: Gate-Level Minimization Ch4: Combinational Logic Ch5: Synchronous Sequential Logic Ch6: Registers and Counters Switching Theory & Logic Design Prof. Adnan

More information

COMP2611: Computer Organization. Introduction to Digital Logic

COMP2611: Computer Organization. Introduction to Digital Logic 1 OMP2611: omputer Organization ombinational Logic OMP2611 Fall 2015 asics of Logic ircuits 2 its are the basis for binary number representation in digital computers ombining bits into patterns following

More information

Ex: Boolean expression for majority function F = A'BC + AB'C + ABC ' + ABC.

Ex: Boolean expression for majority function F = A'BC + AB'C + ABC ' + ABC. Boolean Expression Forms: Sum-of-products (SOP) Write an AND term for each input combination that produces a 1 output. Write the input variable if its value is 1; write its complement otherwise. OR the

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 3 Additional Gates and Circuits Overview Part 1 Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I : BOOLEAN ALGEBRA AND LOGIC GATES PART - A (2 MARKS) Number

More information

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction

Chapter 2. Introduction. Chapter 2 :: Topics. Circuits. Nodes. Circuit elements. Introduction hapter 2 Introduction igital esign and omputer rchitecture, 2 nd Edition avid Money Harris and Sarah L. Harris logic circuit is composed of: Inputs Outputs Functional specification Timing specification

More information

Lecture 1. Notes. Notes. Notes. Introduction. Introduction digital logic February Bern University of Applied Sciences

Lecture 1. Notes. Notes. Notes. Introduction. Introduction digital logic February Bern University of Applied Sciences Output voltage Input voltage 3.3V Digital operation (Switch) Lecture digital logic February 26 ern University of pplied Sciences Digital vs nalog Logic =? lgebra Logic = lgebra oolean lgebra Exercise Rev.

More information

Boolean Algebra, Gates and Circuits

Boolean Algebra, Gates and Circuits Boolean Algebra, Gates and Circuits Kasper Brink November 21, 2017 (Images taken from Tanenbaum, Structured Computer Organization, Fifth Edition, (c) 2006 Pearson Education, Inc.) Outline Last week: Von

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010

Digital Logic & Computer Design CS Professor Dan Moldovan Spring 2010 Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer rchitecture David Money Harris and

More information

Propositional Logic. Logical Expressions. Logic Minimization. CNF and DNF. Algebraic Laws for Logical Expressions CSC 173

Propositional Logic. Logical Expressions. Logic Minimization. CNF and DNF. Algebraic Laws for Logical Expressions CSC 173 Propositional Logic CSC 17 Propositional logic mathematical model (or algebra) for reasoning about the truth of logical expressions (propositions) Logical expressions propositional variables or logical

More information

Boolean Algebra and logic gates

Boolean Algebra and logic gates Boolean Algebra and logic gates Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Outline l Postulates and fundamental properties of Boolean Algebra l Boolean

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101>

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Copyright 2007 Elsevier 2-<101> Digital Logic & Computer Design CS 434 Professor Dan Moldovan Spring 2 Copyright 27 Elsevier 2- Chapter 2 :: Combinational Logic Design Digital Design and Computer Architecture David Money Harris and

More information

Binary addition (1-bit) P Q Y = P + Q Comments Carry = Carry = Carry = Carry = 1 P Q

Binary addition (1-bit) P Q Y = P + Q Comments Carry = Carry = Carry = Carry = 1 P Q Digital Arithmetic In Chapter 2, we have discussed number systems such as binary, hexadecimal, decimal, and octal. We have also discussed sign representation techniques, for example, sign-bit representation

More information

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 2 Circuit Optimization

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 2 Circuit Optimization Logic and omputer Design Fundamentals hapter 2 ombinational Logic ircuits Part 2 ircuit Optimization harles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

Textbook: Digital Design, 3 rd. Edition M. Morris Mano

Textbook: Digital Design, 3 rd. Edition M. Morris Mano : 25/5/ P-/70 Tetbook: Digital Design, 3 rd. Edition M. Morris Mano Prentice-Hall, Inc. : INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter 3 25/5/ P-2/70 Chapter 3 Gate-Level Minimization

More information

Discrete Mathematics. CS204: Spring, Jong C. Park Computer Science Department KAIST

Discrete Mathematics. CS204: Spring, Jong C. Park Computer Science Department KAIST Discrete Mathematics CS204: Spring, 2008 Jong C. Park Computer Science Department KAIST Today s Topics Combinatorial Circuits Properties of Combinatorial Circuits Boolean Algebras Boolean Functions and

More information

Review: Additional Boolean operations

Review: Additional Boolean operations Review: Additional Boolean operations Operation: NAND (NOT-AND) NOR (NOT-OR) XOR (exclusive OR) Expressions: (xy) = x + y (x + y) = x y x y = x y + xy Truth table: x y (xy) x y (x+y) x y x y 0 0 1 0 1

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Introduction Logic Gates Boolean Algebra Map Specification Combinational Circuits Flip-Flops Sequential Circuits Memory Components Integrated Circuits Digital Computers 2 LOGIC GATES

More information

Theorem/Law/Axioms Over (.) Over (+)

Theorem/Law/Axioms Over (.) Over (+) material prepared by: MUKESH OHR Follow me on F : http://www.facebook.com/mukesh.sirji4u OOLEN LGER oolean lgebra is a set of rules, laws and theorems by which logical operations can be mathematically

More information