Undercut of Unaxis-ICP-Deposited-SiO 2, by Vapor HF Etch

Size: px
Start display at page:

Download "Undercut of Unaxis-ICP-Deposited-SiO 2, by Vapor HF Etch"

Transcription

1 Undercut of Unxis-ICP-Deposited-SiO 2, y Vpor HF Etch Ojective: To study the undercut-etch-rte of high-density-plsm-cvd (HDPCVD)-grown SiO2 using the Vpor HF tool with the currently instlled recipes. Experimentl: 1) Solvent clen of 4 Si wfer with cetone [2 minutes in ultrsonic th (USB)] nd methnol (1 minute in USB), then, DI wter rinse, then, dipping the wfer into BHF to remove the ntive oxide for 1 minute, DI wter rinse nd nitrogen-gun low dry. 2) Depositing HDPCVD SiO2 onto the wfer surfce using Unxis ICP Deposition tool t 100 o C for 1000 seconds (15mT, 5/400W, SiH4/O2/He flow-rte=5.9/10/245 sccm). The film thickness nd refrctive index, mesured with the Ellipsometer, re 553.7nm nd 1.468, respectively. 3) Depositing n Aluminum lyer, s n etch msk, onto the SiO2 using E-em#4 Evportor: nominl thickness ws 500nm (0.3nm/s). 4) A photoresist trench pttern ws formed on the top of Aluminum lyer using MA-6 msk ligner nd AZ5214 resist (with imgereversl process). 5) Etching the Aluminum using Pnsonic ICP#1 tool with 0.7 P, 70/300 W, BCl3/Cl2 flow-rte=20/40 sccm, nd etch time=80 seconds. After the etching, stripping the remining resist using 1165 striper (t 80 o C on hot-plte for 2 hours), nd O2 plsm descum (300mT/200W for 7 minutes). 6) Etching the underneth SiO2 using Pnsonic ICP#2 tool with 0.5 P, 200/900 W, CHF3 flow-rte=40 sccm, nd time=120 seconds. 7) Cleving the wfer into smll pieces (~1X1 cm 2 ), then, king them on hot-plte t 220 o C for 10 minutes. 8) Etching smples using the Vpor HF (VHF) etch tool (SPTS Etch System) with the currently instlled stndrd recipes. 9) Cleving the smples nd tking SEMs to get the oth undercut profile nd undercut etch rte.

2 Tle 1 Recipes instlled in the VHF etch tool (SPTS Etch System). Recipe# Regultor Pressure (T) Gs (vpor) Flow-rte (sccm) N2 EtOH HF

3 Results: Figure 1 () nd (): Profile (the top nd the ottom lyer re Aluminum nd SiO2 one, respectively) fter Aluminum etch using Pnsonic ICP#1 with 0.7 P, 70/300 W, BCl3/Cl2 flow-rte=20/40 sccm, nd etch time=80 seconds.

4 Figure 2 () nd (): Profile (the top nd the ottom lyer re Aluminum nd SiO2 one, respectively) fter Aluminum etch (see Figure 1) nd SiO2 etch (using Pnsonic ICP#2 with 0.5 P, 200/900 W, CHF3 flow-rte=40 sccm, nd etch time=120 seconds).

5 Figure 3 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#1 with 8X300 s (8 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 13.6 m Averge Undercut= ( )/ m Undercut etch rte=0.75 m/40min=190 Å/min

6 Figure 4 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#1 with 16X300 s (16 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 14.7 m Averge Undercut= ( )/2 1.3 m Undercut etch rte=1.3 m/80min=163 Å/min

7 Figure 5 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#2 with 4X300 s (4 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 17.4 m Averge Undercut= ( )/ m Undercut etch rte=2.65 m/20min=1330 Å/min

8 Figure 6 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#2 with 8X300 s (8 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 20.3 m Averge Undercut= ( )/2 4.1 m Undercut etch rte=4.1 m/40min=1030 Å/min

9 Figure 7 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#2 with 12X300 s (12 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 23.4 m Averge Undercut= ( )/ m Undercut etch rte=5.65 m/60min=940 Å/min

10 Undercut ( m) Ning Co, Stff Engineer, Nnof L, UCSB Figure 8 SiO2 undercut vs. etch time using Recipe# SiO 2 Undercut vs Etch Time (Recipe#2) Etch Time (minute)

11 Figure 9 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#3 with 2X300 s (2 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 16.8 m Averge Undercut= ( )/ m Undercut etch rte=2.35 m/10min=2350 Å/min

12 Figure 10 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#3 with 4X300 s (4 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 21.9 m Averge Undercut= ( )/2 4.9 m Undercut etch rte=4.9 m/20min 2450 Å/min

13 Figure 11 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#3 with 6X300 s (6 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 25.1 m Averge Undercut= ( )/2 6.5 m Undercut etch rte=6.5 m/30min 2170 Å/min

14 Figure 12 (), (), nd (c): Dry etch profile of SiO2 using VHF tool nd Recipe#3 with 8X300 s (8 cycles nd 300 s for ech cycle). c Averge opening width (including the undercuts) = 32.7 m Averge Undercut= ( )/ m Undercut etch rte=10.3 m/40min 2580 Å/min

15 Undercut ( m) Ning Co, Stff Engineer, Nnof L, UCSB Figure 13 SiO2 undercut vs. etch time using Recipe#3. 12 SiO 2 Undercut vs Etch Time (Recipe#3) 10 y = x Etch Time (minute)

16 To Be Continued (see File#)

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

graphene/ferroelectric interface

graphene/ferroelectric interface Extrinsic nd intrinsic chrge trpping t the grphene/ferroelectric interfce Supporting Informtion M. Humed Yusuf, Bent Nielsen, M. Dwber nd X. Du* Deprtment of Physics nd Astronomy, Stony Brook University,

More information

Silicon Nanowire Based Single-Molecule SERS Sensor

Silicon Nanowire Based Single-Molecule SERS Sensor Supporting informtion Silicon Nnowire Bsed Single-Molecule SERS Sensor Hui Wng, Xuemei Hn, Xuemei Ou, Chun-Sing Lee, Xiohong Zhng* nd Shuit-Tong Lee S1, A series of Si nnowires coted with compct ggregtes

More information

Supplementary material

Supplementary material 10.1071/FP11237_AC CSIRO 2012 Accessory Puliction: Functionl Plnt Biology 2012, 39(5), 379 393. Supplementry mteril Tle S1. Effect of wter regime nd genotype on different growth prmeters: spike dry mtter

More information

Supplementary materials for: Large scale arrays of single layer graphene resonators

Supplementary materials for: Large scale arrays of single layer graphene resonators Supplementary materials for: Large scale arrays of single layer graphene resonators Arend M. van der Zande* 1, Robert A. Barton 2, Jonathan S. Alden 2, Carlos S. Ruiz-Vargas 2, William S. Whitney 1, Phi

More information

Lithography and Etching

Lithography and Etching Lithography and Etching Victor Ovchinnikov Chapters 8.1, 8.4, 9, 11 Previous lecture Microdevices Main processes: Thin film deposition Patterning (lithography) Doping Materials: Single crystal (monocrystal)

More information

DESIGN AND FABRICATION OF THE MICROCANTILEVER HEATER FOR RAPID CHEMICAL VAPOR DEPOSITION GRAPHENE SYNTHESIS HOE JOON KIM THESIS

DESIGN AND FABRICATION OF THE MICROCANTILEVER HEATER FOR RAPID CHEMICAL VAPOR DEPOSITION GRAPHENE SYNTHESIS HOE JOON KIM THESIS DESIGN AND FABRICATION OF THE MICROCANTILEVER HEATER FOR RAPID CHEMICAL VAPOR DEPOSITION GRAPHENE SYNTHESIS BY HOE JOON KIM THESIS Submitted in partial fulfillment of the requirements for the degree of

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Section 6: Area, Volume, and Average Value

Section 6: Area, Volume, and Average Value Chpter The Integrl Applied Clculus Section 6: Are, Volume, nd Averge Vlue Are We hve lredy used integrls to find the re etween the grph of function nd the horizontl xis. Integrls cn lso e used to find

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-7-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD Meredith

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

The development of nanoscale morphology in polymer:fullerene. photovoltaic blends during solvent casting

The development of nanoscale morphology in polymer:fullerene. photovoltaic blends during solvent casting Supplementry informtion Supplementry Mteril (ES) for Soft Mtter The development of nnoscle morphology in polymer:fullerene photovoltic lends during solvent csting To Wng, * Aln D. F. Dunr, Pul A. Stniec,

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT WRITE YOUR SOLUTIONS ON ONLY ONE SIDE OF EMPTY SOLUTION SHEETS

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition Supporting Information for Wafer Scale Homogeneous Bilayer Graphene Films by Chemical Vapor Deposition Seunghyun Lee, Kyunghoon Lee, Zhaohui Zhong Department of Electrical Engineering and Computer Science,

More information

AN IMPROVED SMALL CLOSED DRIFT THRUSTER WITH BOTH CONDUCTING AND DIELECT RIC CHANNELS

AN IMPROVED SMALL CLOSED DRIFT THRUSTER WITH BOTH CONDUCTING AND DIELECT RIC CHANNELS AN IMPROVED SMALL CLOSED DRIFT THRUSTER WITH BOTH CONDUCTING AND DIELECT RIC CHANNELS A.I.Bugrov, A.D.Desitskov, H.R.Kufmn, V.K.Khrchevnikov, A.I.Morozov c, V.V.Zhurin d Moscow Institute of Rdioelectronics,

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

An Optomechanical Pressure Sensor Using Multimode Interference Couplers

An Optomechanical Pressure Sensor Using Multimode Interference Couplers Jpn. J. Appl. Phys. Vol. 38 1999 pp. 2664 2668 Prt 1, No. 4B, April 1999 c 1999 Publiction Bord, Jpnese Journl of Applied Physics An Optomechnicl Pressure Sensor Using Multimode Interference Couplers Dooyoung

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

Thermal Oxidation of Si

Thermal Oxidation of Si Thermal Oxidation of General Properties of O 2 Applications of thermal O 2 Deal-Grove Model of Oxidation Thermal O 2 is amorphous. Weight Density = 2.20 gm/cm 3 Molecular Density = 2.3E22 molecules/cm

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2008 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 6: Process

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing EE115C Winter 2017 Digital Electronic Circuits Lecture 3: MOS RC Model, CMOS Manufacturing Agenda MOS Transistor: RC Model (pp. 104-113) S R on D CMOS Manufacturing Process (pp. 36-46) S S C GS G G C GD

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Chapter 9, Etch Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Upon finishing this course, you should

More information

Plasma Etch Tool Gap Distance DOE Final Report

Plasma Etch Tool Gap Distance DOE Final Report Plasma Etch Tool Gap Distance DOE Final Report IEE 572 Doug Purvis Mei Lee Gallagher 12/4/00 Page 1 of 10 Protocol Purpose: To establish new Power, Pressure, and Gas Ratio setpoints that are acceptable

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Issued: Tuesday, Oct. 14, 2014 PROBLEM SET #7 Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Electroplating 1. Suppose you want to fabricate MEMS clamped-clamped beam structures

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Structural Effect of Thioureas on the Detection of Chemical Warfare Agent Simulants

Structural Effect of Thioureas on the Detection of Chemical Warfare Agent Simulants Structurl Effect of Thioures on the Detection of Chemicl Wrfre Agent Simulnts Seonggyun H, Minhe Lee, Hyun Ook Seo, b Sun Gu Song, Kyung-su Kim, Chn Heum Prk, Il Hee Kim, Young Dok Kim nd Chngsik Song*

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:.38/nature09979 I. Graphene material growth and transistor fabrication Top-gated graphene RF transistors were fabricated based on chemical vapor deposition (CVD) grown graphene on copper (Cu). Cu foil

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces Supporting information Infrared Characterization of Interfacial Si-O Bond Formation on Silanized Flat SiO 2 /Si Surfaces Ruhai Tian,, Oliver Seitz, Meng Li, Wenchuang (Walter) Hu, Yves Chabal, Jinming

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

When, Ti(IV)-Fe(III) and Ti(IV)-Zr(IV) binary mixtures were passed through the column at ph

When, Ti(IV)-Fe(III) and Ti(IV)-Zr(IV) binary mixtures were passed through the column at ph Electronic Supplementry Mteril (ESI) for RSC Advnces. This journl is The Royl Society of Chemistry 2014 Supplementry dt: The distribution co-efficient (K d ) t different ph hs been computed (eq. 2) nd

More information

An environment designed for success

An environment designed for success An environment designed for success The nanofab is a centralized, open-access, training, service, and collaboration facility, focused on academic research and industrial applications in micro- and nanoscale

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Deriving hydraulic conductivity function from soil column tests

Deriving hydraulic conductivity function from soil column tests Deriving hydrulic conductivity function from soil column tests Yvonne Lins, Mri Dtchev & Tom Schnz DFG Fo 444 - TP 4 Experimentelle und theoretische Untersuchungen teilgesättigter Reibungsmterilien Content

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Supporting information for

Supporting information for Supporting informtion for Competitive co-dsorption of with H O, NH 3, SO, NO, NO, N, O, nd CH in M-MOF-7 (M= Mg, Co, Ni): the role of hydrogen onding Kui Tn, Sestin Zulug, Qihn Gong, Yuzhi Go, Nour Nijem,

More information

Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection

Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection Yu Yao 1, Raji Shankar 1, Patrick Rauter 1, Yi Song 2, Jing Kong

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Supporting Information Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Zhongbo Yan, Ming Xia, Pei Zhang, and Ya-Hong Xie* Department of

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Effect of acetone vapor treatment on photoluminescence of porous nc-si SiO x nanostructures

Effect of acetone vapor treatment on photoluminescence of porous nc-si SiO x nanostructures PACS 78.55.M, 79..Jv, 81..Ef Effect of cetone vpor tretment on photoluminescence of porous nc-si SiO x nnostructures I.Z. Indutnyi, K.V. Michilovsk, V.I. Min ko, P.E. Shepelivyi V. Lshkryov Institute of

More information

Supplementary Figure 1 Supplementary Figure 2

Supplementary Figure 1 Supplementary Figure 2 Supplementry Figure 1 Comprtive illustrtion of the steps required to decorte n oxide support AO with ctlyst prticles M through chemicl infiltrtion or in situ redox exsolution. () chemicl infiltrtion usully

More information

Metal-Carbonyl Organometallic Polymers, PFpP, for High- Resolution Positive and Negative Electron Beam Lithography Resist

Metal-Carbonyl Organometallic Polymers, PFpP, for High- Resolution Positive and Negative Electron Beam Lithography Resist Electronic Supplementry Mteril (ESI) for ChemComm. This journl is The Royl Society of Chemistry 2015 Supporting informtion Metl-Crbonyl Orgnometllic Polymers, PFpP, for High- Resolution Positive nd Negtive

More information

Supporting Information

Supporting Information Electronic Supplementry Mteril (ESI) for Nnoscle. This journl is The Royl Society of Chemistry Supporting Informtion A Dul-Emitting d-f Nnocrystlline Metl-Orgnic Frmework s Self- Clirting Luminescent Sensor

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS Maria Suggs, Physics Major, Southern Polytechnic State University

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

DOI:.8/nc5 Cpilities of MCAK Sidesliding, endctching on microtuules MCAKdecorted ed Functions in mitotic spindle Prometphse Slides on the microtuule surfce + Redily slides long the microtuule surfce Strongly

More information

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016 NNCI AT STANFORD Four labs under NNCI Umbrella at Stanford SNSF Stanford

More information

CHEMICAL KINETICS

CHEMICAL KINETICS CHEMICAL KINETICS Long Answer Questions: 1. Explin the following terms with suitble exmples ) Averge rte of Rection b) Slow nd Fst Rections c) Order of Rection d) Moleculrity of Rection e) Activtion Energy

More information

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82 Lecture 7 Oxidation Chapter 7 Wolf and Tauber 1/82 Announcements Homework: Homework will be returned to you today (please collect from me at front of class). Solutions will be also posted online on today

More information

Organization of silica spherical particles into different shapes on silicon substrates

Organization of silica spherical particles into different shapes on silicon substrates Materials Science-Poland, Vol. 25, No. 3, 2007 Organization of silica spherical particles into different shapes on silicon substrates B. KORUSIEWICZ 1, K. MARUSZEWSKI 1,2* 1 Wrocław University of Technology,

More information

NNCI Dry Etch Capabilities

NNCI Dry Etch Capabilities NNCI Site Tool Type Gases Application Wafer size Cornell Plasmatherm SF6, C4F8, O2, Ar Deep silicon etch 100mm Versaline ICP Deep Ge etch DSEIII SOI Cornell Unaxis 770 ICP SF6, C4F8, O2, Ar Deep silicon

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Hopping in CVD Grown Single-layer MoS 2

Hopping in CVD Grown Single-layer MoS 2 Supporting Information for Large Thermoelectricity via Variable Range Hopping in CVD Grown Single-layer MoS 2 Jing Wu 1,2,3, Hennrik Schmidt 1,2, Kiran Kumar Amara 4, Xiangfan Xu 5, Goki Eda 1,2,4, and

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 5: ALD,

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

DQN Positive Photoresist

DQN Positive Photoresist UNIVESITY OF CALIFONIA, BEKELEY BEKELEY DAVIS IVINE LOS ANGELES IVESIDE SAN DIEGO SAN FANCISCO SANTA BABAA SANTA CUZ DEPATMENT OF BIOENGINEEING 94720-1762 BioE 121 Midterm #1 Solutions BEKELEY, CALIFONIA

More information

physica status solidi applications and materials science

physica status solidi applications and materials science www.-.com pplictions nd mterils science Stiffening nd hydrophilistion of SOG low-k mteril studied by ellipsometric porosimetry, UV ellipsometry nd lser-induced surfce coustic wves A. M. Urbnowicz1, B.

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument Focused ion beam instruments Outlines 1. Other components of FIB instrument 1.a Vacuum chamber 1.b Nanomanipulator 1.c Gas supply for deposition 1.d Detectors 2. Capabilities of FIB instrument Lee Chow

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

Designing Information Devices and Systems I Spring 2018 Homework 7

Designing Information Devices and Systems I Spring 2018 Homework 7 EECS 16A Designing Informtion Devices nd Systems I Spring 2018 omework 7 This homework is due Mrch 12, 2018, t 23:59. Self-grdes re due Mrch 15, 2018, t 23:59. Sumission Formt Your homework sumission should

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

G. MATEESCU 1 A. MATEESCU 1 C. SAMOILĂ 2 D. URSUŢIU 2

G. MATEESCU 1 A. MATEESCU 1 C. SAMOILĂ 2 D. URSUŢIU 2 PRELIMINARY EXPERIMENTS OF THE NEW FACILITY AND TECHNOLOGY FOR VACUUM DRYING AND THERMAL POLIMERIZATION OF THE TURBOGENERATORS STATOR BARS INSULATION (INTEPOL) G. MATEESCU 1 A. MATEESCU 1 C. SAMOILĂ 2

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

High Temperature Water Clean and Etch Reactions with Low-k and SiO 2 Films: Experiments and Simulations

High Temperature Water Clean and Etch Reactions with Low-k and SiO 2 Films: Experiments and Simulations High Temperature Water Clean and Etch Reactions with Low-k and SiO 2 Films: Experiments and Simulations Joshua Barclay, Lu Deng, Oseoghaghare Okobiah, Tina Sengphanlaya, Jincheng Du, Rick Reidy University

More information

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors Felicia A. McGuire 1, Yuh-Chen Lin 1, Katherine Price 1, G. Bruce Rayner 2, Sourabh

More information

Experimental evaluations of radio frequency heating in low-moisture agricultural products

Experimental evaluations of radio frequency heating in low-moisture agricultural products Emirtes Journl of Food nd Agriculture. 2015. 27(9): 662-668 doi: 10.9755/ejf.2015.04.078 http://www.ejf.me/ REGULAR ARTICLE Experimentl evlutions of rdio frequency heting in low-moisture griculturl products

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information