Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Size: px
Start display at page:

Download "Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68"

Transcription

1 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68

2 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October) at ~noon. Homework number 2 will be also be set Thursday (12 th October). 2/68

3 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course grade. Details are on the course website now. Topics will be listed on Tuesday 17 th October. You need to list your favorite topics by Monday 23 rd October. You will be assigned a topic on Friday 27 th October. The term paper should be handed in at the start of class on Tuesday 21 st November. The term paper will be returned to you in class on Thursday 30 th November. 3/68

4 Announcements Mid-Term Exam: The exam will take place on Thursday (26 th October) at 10:00 am in Gleeson 100. You will have 90 minutes. Closed book and no notes. Will cover material covered in lectures 2-9. There will be a review lecture one week before (Thursday 19 th October). There will be no lecture on Tuesday 24 th October (exam preparation). 4/68

5 Useful Links Stanford lecture series on dry etching (~15 minutes each): Introduction to Dry Etching: Basics of Plasmas and Types of Dry Etching Tools: Dry Etching Mechanisms: Choosing a Dry Etching Process Tool: 5/68

6 Lecture 6 Dry Etching in CMOS. Etching Overview. Physics of Plasmas. Plasma Reactor Chamber. Sputtering and Etching. 6/68

7 Dry Etching in CMOS 7/68

8 Dry Etching Processes Start with your (doped) semiconductor wafer. n + p + p + n + n + p + substrate 8/68

9 Dry Etching Processes Oxide is grown over entire substrate (Lecture 7) oxide n + p + p + n + n + p + substrate 9/68

10 Dry Etching Processes Oxide is etched in certain regions to allow contact with doped regions (Lecture 16). oxide n + p + p + n + n + p + substrate 10/68

11 Dry Etching Processes Metal is deposited everywhere on wafer (Lecture 5). oxide n + p + p + n + n + p + substrate 11/68

12 Dry Etching Processes Metal is selectively etched (Lecture 14-16). oxide n + p + p + n + n + p + substrate 12/68

13 Dry Etching Processes Wafer is covered everywhere with SiN 3 (Lecture 14-15). SiN 3 oxide n + p + p + n + n + p + substrate 13/68

14 Dry Etching Processes Wafer is covered everywhere with SiN 3 (Lecture 14-15). SiN 3 oxide n + p + p + n + n + p + substrate 14/68

15 Dry Etching Processes Photoresist is applied (Lecture 14-15). Photoresist SiN 3 oxide n + p + p + n + n + p + substrate 15/68

16 Dry Etching Processes Photoresist is treated (Lecture 14-15). Photoresist SiN 3 oxide n + p + p + n + n + p + substrate 16/68

17 Dry Etching Processes SiN 3 and photoresist is selectively etched (this lecture). Photoresist SiN 3 oxide n + p + p + n + n + p + substrate 17/68

18 Dry Etching Processes Photoresist is removed etched (Lecture 14-15). SiN 3 oxide n + p + p + n + n + p + substrate 18/68

19 Dry Etching Processes Metal is deposited everywhere on wafer (Lecture 5). SiN 3 oxide n + p + p + n + n + p + substrate 19/68

20 Dry Etching Processes Metal is selectively etched. SiN 3 oxide n + p + p + n + n + p + substrate 20/68

21 Etching Overview 21/68

22 Etching Etching is the removal of regions of deposited films or substrates. The overall goal of the etch process for VLSI fabrication is to be able to reproduce the features on the mask with fidelity We want to be able to control the slope of the features we etch. Anisotropic etching Isotropic etching Photoresist SiO 2 Substrate: Si Photoresist SiO 2 Substrate: Si 22/68

23 Selectivity Etch selectivity is defined as follows: Selectivity = Etch rate of Material to be removed Etch rate of material to remain Pre-Etch Low Selectivity High Selectivity Photoresist Photoresist Photoresist Film Film Film Substrate Substrate Substrate 23/68

24 Etching What we want from our etch: High selectivity against etching the mask layer material. High selectivity against etching the material under the film being etched. The etch rate should be fast enough to be practical, but slow enough to be controllable. The etch should be uniform across the wafer. The etch process should be safe (by products should be easily and safely removed). The etch process should cause minimal damage to the substrate. The mask should be easy to remove after the process is complete. The process should be clean (low concentration of contaminants). The process should be automated. 24/68

25 Dry Etch vs Wet Etch Wet etch: E.g. HF etch (see Lecture 4): SiO 2 + 6HF L H 2 SiF 6 L + 2H 2 O(L) Photoresist SiO 2 Substrate: Si Typically isotropic. Poor control over feature size. High selectivity. 25/68

26 Dry Etch vs Wet Etch Dry etch: Ions in plasma are applied directionally. Photoresist SiO 2 Substrate: Si Can achieve anisotropic etching. Necessary for small features. Can have poor selectivity. 26/68

27 Physics of Plasmas 27/68

28 Plasmas So called 4 th State of Matter. An ionized gas of electrons and ions: Commonly observed in neon signs. 28/68

29 Plasmas Used to crack molecules. Use to create and accelerate ions. Composition of partially ionized gas: e + AB A + B + e e + A A + + e + e e + AB AB + + e + e e + A A + e e + AB AB + e * Denotes energy greater than ground state. = Radical (possesses unpaired electron). = Ions 29/68

30 Ionization A high speed electron hits an atom hard enough to knock out an electron. This forms an ion and another free electron. This is an elastic collision. High speed Electron Neutral Atom (Ar) Ion (Ar + ) e - + Ar Ar + + e - + e - 30/68

31 Molecules: Dissociation When a molecule undergoes dissociation we can get two reactive atoms. These atoms are called free radicals. High speed Electron Stable Molecule Reactive Free Radical e - + O 2 O + O + e - 31/68

32 energy Excitation and Relaxation An electron hits and excites a neutral atom. Which then relaxes and gives light. This is what makes a plasma glow. e - hν Characteristic Light High speed Electron Neutral Atom (Ar) Excited Atom (Ar*) Relaxed Atom (Ar) e - + Ar e - + Ar* e - + Ar + hn 32/68

33 Glow Discharge 33/68

34 Glow Discharge Color Different gasses, when excited, will glow with different colors. Nitrogen glows purple, Helium glows blue, Sodium glows yellow, Boron glows green, Neon glows red. The color of the glow (the wavelength) is related to the energy lost during relaxation by: E = hc λ This property enables the use of spectral analysis to obtain detailed information about the nature of the gasses that make up the plasma. 34/68

35 Energy vs Electron Density Plasmas used for plasma etching and other materials processing Dry Etching for VLSI, A.J. van Roosmalen, J.A.G. Baggerman, S.J.H. Brader, 1991, p.13 35/68

36 Physics of Plasmas The physics of plasmas is an incredibly rich area of study (see magnetohydrodynamics for example). We are only interested in a few properties for our needs. Elastic Collisions: Inelastic Collisions: 36/68

37 Elastic Collisions Defined as a collision between two bodies in which the kinetic energy and momentum are conserved during the interaction. Consider 1-dimensional example: Before: u 1 u 2 m 1 m 2 After: v 1 v 2 m 1 m 2 37/68

38 Elastic Collisions Before: Conservation of momentum: After: u 1 u 2 m 1 m 2 v 1 v 2 m 1 m 2 m 1 u 1 + m 2 u 2 = m 1 v 1 + m 2 v 2 Conservation of energy: m 1 u m 2u = m 1v m 2v Solving these equations: v 1 = u 1 m 1 m 2 + 2m 2 u 2 m 1 + m 2 v 2 = u 2 m 2 m 1 + 2m 1 u 1 m 1 + m 2 38/68

39 Example Consider an electron moving at 100 ms -1, collides with a stationary argon atom. High speed Electron Neutral Atom (Ar) In one dimension (assume electron hits the center of the atom), what is the velocity of the two products? We need to know: Mass of electron: m e = kg. Mass of argon atom: m A = kg. 39/68

40 Example Before: u 1 u 2 = 0 m 1 m 2 Enter values into equations: 100 ms kg kg v 1 = u 1 m 1 m 2 + 2m 2 u 2 m 1 + m 2 v 1 = ms 1 0 ms kg 0 ms kg v 2 = u 2 m 2 m 1 + 2m 1 u 1 m 1 + m 2 v 2 = ms ms -1 Electrons have significantly higher velocities than ions! 40/68

41 Collision Cross Section Collision rate can be quantified by collision cross-section: No Collision Collision r A + σ = πr 2 r = Φσρ Where: r = reaction rate (m -3 s -1 ). Φ = Beam flux (m -2 s -1 ). ρ = Density of target atoms (m -3 ). Reaction cross-section 41/68

42 Energy Distribution in Plasmas The energy of electrons in a plasma is distributed. The Druyvestian relationship is used to describe the distribution: 0.14 Maxwellian Distribution 0.12 f E = 4 A p e 2 I e = A pe 4 m ev p 2e 2 f E න m e ev p d 2 I e (E) dv p e E + ev p E de f(e) Druyvestein Distribution Energy (ev) 42/68

43 Rate of Plasma Processes The reaction rate, r, is given by: Where: r = kn e n ions k = ee m න 0 f E σ E de f (E) (E) Where: E = Electron energy f E = Electron energy distribution function (eedf). σ E = Cross section of reaction process. E 43/68

44 Plasma Reactor Chamber 44/68

45 Plasma Chamber Basically a vacuum chamber. Consider Ar as an example. Electrodes top and bottom of chamber. With no bias, just atoms flow through chamber. P Gas in 1 mtorr - 1 torr Electrodes Gas out Watts Power Supply Switch Atoms 45/68

46 Plasma Chamber When biased, electrons are accelerated between the electrodes. Electrons ionize gas atoms. Plasma glows. Gas in P 1 mtorr - 1 torr Gas out Watts Power Supply Switch Ion Electron 46/68

47 Plasma Ignition Ignition takes place when a gas breaks down, due to an electrical discharge (arc). The voltage required for ignition is described by the Paschen law: Bpd V = ln Apd ln ln γ se B = Constant related to excitation an ionization energies. A = Saturation ionization in the gas at a particular E/p. V = Breakdown voltage. p = Pressure. d = distance between electrodes. γ se = secondary-electron-emission coefficient (the number of secondary electrons produced per incident positive ion). 47/68

48 Plasma Ignition Sweet Spot 48/68

49 Plasma Chamber The plasma in the chamber is charged balanced: n + e ~n ion The gas is only partially ionized (typically ~ 0.01%). Radicals only make up about 1% of gas. (at 20 mtorr). 49/68

50 The RF Field A DC bias cannot be used if we want to deposit onto an insulator. What happens when we apply a DC bias? First consider a conductor on the cathode V Ar + Conductor 50/68

51 DC Bias - Conductor -V 1 Ar Ar Ar Ar Ar + Ar + Ar + Ar + Positive ions are attracted to the cathode (negatively charged). They obtain an electron from the surface and become neutral. Since the surface is conducting, the electrons are replenished. The voltage remains as set. The process can carry on indefinitely. 51/68

52 DC Bias - Insulator -V 1 Ar Ar Ar Ar + Ar + Ar + Positive ions are attracted to the cathode (negatively charged). They obtain an electron from the surface and become neutral. Electrons in the surface are not replenished. Ar Ar + Surface gets positively charged. Eventually field between terminals drops below level to maintain plasma. 52/68

53 The RF Field In general a MHz frequency oscillating field is applied (as defined by communication authorities). Now what happens to the components of the plasma. Positive and negative charges are alternatively accelerated to each electrode. 1 2 V b V a 53/68

54 The RF Field The mass of our ions is much larger (typically ) than electrons. So velocity of electrons is much higher in general than ions. 1 2 V b V a 54/68

55 Plasma in RF Field 1 Electrons have higher general velocities: Ar + Ar + J e J ion On average, more electrons will hit surfaces than ions per unit time. Surface will get more negatively charged initially. Ar + High speed Electron 55/68

56 Plasma in RF Field 1 Ar + Ar + At some point the charge will be sufficient to repel electrons. Eventually steady will be established. Ar + Ar + J e = J ion Ar + Ar + High speed Electron 56/68

57 Sheath Region Regions near electrodes have a greater concentration of ions than electrons. No plasma near electrodes (sheath region). sheath region sheath region 1 2 V b V a 57/68

58 Ion Bombardment Ions move around slowly in the bulk of a Plasma. When they reach the sheath, they are strongly attracted to the negative surface. They hit the surface at a very high speed. c c Bulk Sheath c Negative Surface 58/68

59 Sputtering and Etching 59/68

60 Sputtering Argon ion bombards the aluminum surface Aluminum Aluminum Target P Power Supply Displaced aluminum Atoms Sheath Bulk Bulk Gas in Gas out Sheath Silicon Wafer Aluminum atom moving around Aluminum atoms deposit on the wafer and form a film Silicon Wafer A target material, such as aluminum, is bombarded with Argon ions. The displaced atoms of the target material move across the Plasma. They are then deposited on a silicon wafer. 60/68

61 Chemical Vapor Deposition (CVD) Free radicals deposit on the wafer surface and chemically combine to form a layer of material. Silane (SiH 4 ) Molecule Oxygen (O 2 ) Molecule Bulk Sheath (SiH 2 )Free Radical (O) Free Radical Silicon dioxide Silicon (SiO 2 )Formed on surface Unreacted (O) Free Radical on surface 61/68

62 Oxygen Plasma Cleaning Recall from piranha cleaning (Lecture 4), that elemental oxygen is extremely effective at removing organic contaminants: O + O + C CO 2 O + H 2 H 2 O Oxygen plasmas are routinely employed to clean surfaces. 62/68

63 Etch Process: CF 4 Plasma Tetrafluoromethane Ion bombardment damages surface (Physical process) Bulk Free radical ( F ) moving around Free radical does not react with photoresist Sheath Polymer Silicon Reaction product escapes from surface Free radical reacts with weakened silicon (Chemical process) 63/68

64 Etch Profile: Types The shape of the feature that is etched is called the etch profile. Isotropic etch profile Etched equally in all directions. All wet etches give isotropic profiles Some dry etches give isotropic profiles Photoresist SiO 2 Substrate Anisotropic etch profile Etched in the preferred direction only. Only dry etch gives anisotropic profiles. Anisotropic profiles are needed for smaller features Photoresist SiO 2 Substrate 64/68

65 Etch Profile: Anisotropy Free Radicals Ions Free radicals, which provide the chemistry that removes material, act in all directions (isotropic). More free radicals lead to more isotropic etching. Photoresist SiO 2 Substrate: Si Ions, which physically damage the surface act in one direction(anisotropic). Strong ion bombardment leads to more etching in the preferred direction. Photoresist SiO 2 Substrate: Si 65/68

66 Sidewall Passivation Sidewall passivation (ions and free radicals) Polymer forms on all surfaces during etch process Ions preferentially remove polymers from bottom A film on the sidewall protects them from free radicals Etch recipes can try to promote a sidewall film Photoresist SiO 2 Substrate: Si 66/68

67 Aspect Ratio Aspect Ratio (AR) = h / w SiO 2 h w Substrate High Aspect Ratio w = 0.18 µm h > 1 µm 67/68

68 Aspect Ratio Dependence High AR loss of anisotropy can be due to: 1. Charge Buildup Electrons preferentially charge the upper portions of the etched features. Ions bend towards sidewalls. 2. Neutral Shadowing Collisions of free radicals with other particles or the feature. 3. Ion Shadowing Scattering and charge exchange of ions in sheath. 4. Neutral Product transport Etch products transporting out collide with particles or feature leading to redeposition. 68/68

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82 Lecture 7 Oxidation Chapter 7 Wolf and Tauber 1/82 Announcements Homework: Homework will be returned to you today (please collect from me at front of class). Solutions will be also posted online on today

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 24 Tai-Chang Chen University of Washington EDP ETCHING OF SILICON - 1 Ethylene Diamine Pyrocatechol Anisotropy: (100):(111) ~ 35:1 EDP is very corrosive, very carcinogenic,

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th ECE611 / CHE611: Electronic Materials Processing Fall 017 John Labram Solutions to Homework Due at the beginning of class Thursday October 19 th Question 1 [3 marks]: a) Piranha solution consists of a

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes,, and Yuepeng Deng Department of Materials Science and Engineering University

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition Metal Deposition Filament Evaporation E-beam Evaporation Sputter Deposition 1 Filament evaporation metals are raised to their melting point by resistive heating under vacuum metal pellets are placed on

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Lecture 3 Vacuum Science and Technology

Lecture 3 Vacuum Science and Technology Lecture 3 Vacuum Science and Technology Chapter 3 - Wolf and Tauber 1/56 Announcements Homework will be online from noon today. This is homework 1 of 4. 25 available marks (distributed as shown). This

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4 Thin Film Deposition Reading Assignments: Plummer, Chap 9.1~9.4 Thermally grown Deposition Thin Film Formation Thermally grown SiO 2 Deposition SiO 2 Oxygen is from gas phase Silicon from substrate Oxide

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Lecture 22 Ion Beam Techniques

Lecture 22 Ion Beam Techniques Lecture 22 Ion Beam Techniques Schroder: Chapter 11.3 1/44 Announcements Homework 6/6: Will be online on later today. Due Wednesday June 6th at 10:00am. I will return it at the final exam (14 th June).

More information

arxiv: v1 [physics.plasm-ph] 10 Nov 2014

arxiv: v1 [physics.plasm-ph] 10 Nov 2014 arxiv:1411.2464v1 [physics.plasm-ph] 10 Nov 2014 Effects of fast atoms and energy-dependent secondary electron emission yields in PIC/MCC simulations of capacitively coupled plasmas A. Derzsi 1, I. Korolov

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen

PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen PIC/MCC Simulation of Radio Frequency Hollow Cathode Discharge in Nitrogen HAN Qing ( ), WANG Jing ( ), ZHANG Lianzhu ( ) College of Physics Science and Information Engineering, Hebei Normal University,

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

Introduction to Thin Film Processing

Introduction to Thin Film Processing Introduction to Thin Film Processing Deposition Methods Many diverse techniques available Typically based on three different methods for providing a flux of atomic or molecular material Evaporation Sputtering

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology Miniaturization The first transistor Miniaturization The first transistor Miniaturization The first transistor Miniaturization

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument

Outlines 3/12/2011. Vacuum Chamber. Inside the sample chamber. Nano-manipulator. Focused ion beam instrument. 1. Other components of FIB instrument Focused ion beam instruments Outlines 1. Other components of FIB instrument 1.a Vacuum chamber 1.b Nanomanipulator 1.c Gas supply for deposition 1.d Detectors 2. Capabilities of FIB instrument Lee Chow

More information

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: Ion Implantation alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages: mass separation allows wide varies of dopants dose control: diffusion

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

4 Modeling of a capacitive RF discharge

4 Modeling of a capacitive RF discharge 4 Modeling of a capacitive discharge 4.1 PIC MCC model for capacitive discharge Capacitive radio frequency () discharges are very popular, both in laboratory research for the production of low-temperature

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Chapter VI: Cold plasma generation

Chapter VI: Cold plasma generation Introduction This photo shows the electrical discharge inside a highpressure mercury vapor lamp (Philips HO 50) just after ignition (Hg + Ar) Chapter VI: Cold plasma generation Anode Positive column Cathode

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Deposition www.halbleiter.org Contents Contents List of Figures II 1 Deposition 1 1.1 Plasma, the fourth aggregation state of a material............. 1 1.1.1 Plasma

More information

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf by S. Wolf Chapter 15 ALUMINUM THIN-FILMS and SPUTTER-DEPOSITION 2004 by LATTICE PRESS CHAPTER 15 - CONTENTS Aluminum Thin-Films Sputter-Deposition Process Steps Physics of Sputter-Deposition Magnetron-Sputtering

More information

Lecture 6: High Voltage Gas Switches

Lecture 6: High Voltage Gas Switches Lecture 6: High Voltage Gas Switches Switching is a central problem in high voltage pulse generation. We need fast switches to generate pulses, but in our case, they must also hold off high voltages before

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

The Franck-Hertz Experiment Physics 2150 Experiment No. 9 University of Colorado

The Franck-Hertz Experiment Physics 2150 Experiment No. 9 University of Colorado Experiment 9 1 Introduction The Franck-Hertz Experiment Physics 2150 Experiment No. 9 University of Colorado During the late nineteenth century, a great deal of evidence accumulated indicating that radiation

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Issued: Tuesday, Oct. 14, 2014 PROBLEM SET #7 Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory Electroplating 1. Suppose you want to fabricate MEMS clamped-clamped beam structures

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Plasma-enhanced Ammonia Combustion. Jason C. Ganley

Plasma-enhanced Ammonia Combustion. Jason C. Ganley Plasma-enhanced Ammonia Combustion Jason C. Ganley NH 3 Fuel: The Key to US Energy Independence September 19, 2011 1 Gas Discharge Plasma A Gas Discharge Plasma (GDP) occurs when electrons flow from anode

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Indian Journal of Pure & Applied Physics Vol. 48, October 2010, pp. 723-730 Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching Bahaa T Chiad a, Thair L Al-zubaydi

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition Gaetano L Episcopo Scanning Electron Microscopy Focus Ion Beam and Pulsed Plasma Deposition Hystorical background Scientific discoveries 1897: J. Thomson discovers the electron. 1924: L. de Broglie propose

More information

Laser matter interaction

Laser matter interaction Laser matter interaction PH413 Lasers & Photonics Lecture 26 Why study laser matter interaction? Fundamental physics Chemical analysis Material processing Biomedical applications Deposition of novel structures

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

ION Pumps for UHV Systems, Synchrotrons & Particle Accelerators. Mauro Audi, Academic, Government & Research Marketing Manager

ION Pumps for UHV Systems, Synchrotrons & Particle Accelerators. Mauro Audi, Academic, Government & Research Marketing Manager ION Pumps for UHV Systems, Synchrotrons & Particle Accelerators Mauro Audi, Academic, Government & Research Marketing Manager ION Pumps Agilent Technologies 1957-59 Varian Associates invents the first

More information

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE 143 Professor Ali Javey Spring 2009 Exam 2 Name: SID: Closed book. One sheet of notes is allowed.

More information

Industrial Applications of Plasma

Industrial Applications of Plasma Industrial Applications of Plasma Features that make plasma useful for industrial applications: Ø It is characterized by a wide range of energy And/or power densities (thermal plasmas in DC arcs, high

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

6.5 Optical-Coating-Deposition Technologies

6.5 Optical-Coating-Deposition Technologies 92 Chapter 6 6.5 Optical-Coating-Deposition Technologies The coating process takes place in an evaporation chamber with a fully controlled system for the specified requirements. Typical systems are depicted

More information

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST 2015 Fall Semester MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS Byungha Shin Dept. of MSE, KAIST 1 Course Information Syllabus 1. Overview of various characterization techniques (1 lecture)

More information

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle Lecture 10 Vacuum Technology and Plasmas Reading: Chapter 10 Vacuum Science and Plasmas In order to understand deposition techniques such as evaporation, sputtering,, plasma processing, chemical vapor

More information