An Automotive Case Study ERTSS 2016

Size: px
Start display at page:

Download "An Automotive Case Study ERTSS 2016"

Transcription

1 Institut Mines-Telecom Virtual Yet Precise Prototyping: An Automotive Case Study Paris Sorbonne University Daniela Genius, Ludovic Apvrille ERTSS 2016

2 Context Model-oriented Design of Complex Embedded Systems Nowadays current practice in software development for embedded systems Hardware aspects less frequently designed using this kind of approach Models of software components are generally tested/executed on the local host, then integrated on target once available Even if several virtual prototyping platforms are available before the target is available, they require to re-model software elements in a different input format from the one used in models or programming languages 2/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

3 Virtual Prototyping Related Work MARTE [Vidal et al. DATE 2009] AADL [IFIP-WADL 2004, Ben Youssef et al. ETSS 2014] Arcadia/Capella [Polarsys 2008, [ERTSS 2012] Aims at fully integrated way to model critical software components and candidate hardware architectures Joint use of both AVATAR and SocLib was not well integrated: description of the hardware execution environment only in textual form outside of SysML models 3/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

4 Overview of the Contribution: Method 1. Model refinement 2. Selection of OS, setting of options (scheduling algorithm,... ) 3. Selection of a hardware platform and of task allocation scheme 4. Code generation (press-button approach) 5. Manual code improvement 6. Code compilation and linkage with OS 7. Simulation platform boots the OS and executes the code 8. Execution analysis: sequence diagram or debugger (e.g. gdb) 4/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

5 Overview of the Contribution: Tooling 5/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

6 AVATAR Model-oriented solution for the analysis and design of embedded software SysML diagrams describe the software aspects of the system, its safety and security properties Fully supported by the free software TTool Edit AVATAR models Simulation Formal verification Like in most UML approaches, simulation and formal verification rely on a purely timed functional model, without considering any hardware target (CPU, bus, etc.) 6/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

7 SoCLib and MutekH Hardware platform simulator: SoCLib Virtual prototyping of complex Systems-on-Chip Supports several models of processors, buses, memories Example of CPUs: MIPS, ARM, SPARC, Nios2, PowerPC Two sets of simulation models: TLM = Transaction Level Modeling CABA = Cycle Accurate Bit Accurate Embedded Operating System: MutekH Natively handles heterogeneous multiprocessor platforms POSIX thread support Note: any Operating System supporting POSIX threading that can be compiled for SoCLib could be used 7/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

8 Case Study: An Automotive Application Automotive embedded system Made upon a hundred of Electronic Control Units (ECUs) Interconnection with CAN / FlexRay / MOST Automatic Braking Application Taken from Intelligent Transport System applications and from the EVITA european project 1. Obstacle is detected by a car 2. Information is broadcasted to neighborhood cars 3. A car receiving such an information may decide to make an automatic emergency braking w.r.t.: Vehicle dynamics, vehicle position,... Plausibility check 8/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

9 Active Braking Use Case 9/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

10 Deployment Diagram SysML representation of hardware components, their interconnection, tasks and channels Platforms can be modified in a matter of minutes A valid platform must contain at least one CPU, one memory bank and one terminal for observing the progress By choice, and to be discussed, some details (interrupt controller, simulation aides) are currently transparent to the user 10/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

11 Deployment Diagrams AVATAR specification Deployment Diagram 11/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

12 Deployment Diagram for the Case Study 12/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

13 New Tool Chain Generate fully executable SoCLib specification for MPSoC from Deployment Diagram and software component diagrams block0.c Block Diagram and State Machine SyntaxChecker AVATARSpecification... AVATAR2SOCLIB blockn.c main.c libavatar AVATARDDSpecification LdscriptGenerator ldscript Deployment Diagram DDSyntaxChecker deployinfo.h TopcellGenerator top.cc crosscompiler Application Binary loader SoCLib-cc SoCLib Executable 13/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

14 Model Transformation 1. Libavatar Runtime for SoCLib, implements the AVATAR operators 2. DDSyntaxChecker checks the syntax of the deployment diagrams and identifies their elements 3. AVATAR2SOCLIB translates AVATAR blocks (i.e., software components) into C POSIX tasks and generates the main program 4. TopcellGenerator generates a SystemC top cell for cycle accurate bit accurate simulation 5. LdscriptGenerator generates the linker script, taking into account the mapping specified in the deployment diagram 14/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

15 The AVATAR Runtime Library of functions which capture the semantics of the AVATAR operators that appear in the code of the tasks (delay, asyncread, etc.) and implements them using C/POSIX primitives Focuses on channels; interconnect latencies and cache effects make them difficult to implement efficiently on a MPSoC platform SoClib provides an efficient implementation of asynchronous channels as sowtware objects stored in on-chip memory, based on the Kahn model In order to run on a SoCLib platform, instead of the local workstation, the runtime had to be adapted 15/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

16 Prototyping: Top Cell Generation SystemC instanciation of components, netlist, table associating memory segments, charging of code Some components are transparent to the user (interrupts, simulation infrastructure) 16/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

17 Linker Script Generation Handling the mapping of channels is rather difficult since it is related to the main program, the top cell and the linker script (ldscript) File which defines the memory layout; Associates each entry section to an output section, which receives its address in memory Mapping information from Deployment Diagram is used to generate a file to be included by the ldscript generator d a t a l d r. l o a d f i l e ( s t d : : s t r i n g ( k e r n e l p ) + ";. data ;. channel0 ;.... channel14 ;. cpudata ;. contextdata " ) ; 17/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

18 Code Generation Difficulties Implementing AVATAR channels with existing paradigm for SoCLib (software channel mapped to shared memory) Co-existence of synchronous and asynchronous channels Central request management required for synchronous channels 18/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

19 Extract from generated code for the DrivingPowerReductionStrategy block (1) #i n c l u d e " DrivingPowerReductionStrategy.h" s t a t i c u i n t 3 2 t getreducepowerorder ;... v o i d mainfunc DrivingPowerReductionStrategy ( t r u c t mwmr s channels DrivingPowerReduc t i o n S t r a t e g y [ ] ) { st r uc t mwmr s DangerAvoidanceStrategy reducepower DrivingPowerReductionStrategy get ReducePowerOrder= channels DrivingPowerReductionStrategy [ 0 ] ; i n t v a l u e = 0 ; i n t minreducepowertime = 1 0 ; i n t maxreducepowertime = 2 0 ; i n t c u r r e n t S t a t e = STATE START STATE ;... p t h r e a d c o n d i n i t (& mycond, NULL ) ; f i l l L i s t O f R e q u e s t s (& l i s t, myname, & mycond, & mainmutex ) ; 19/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

20 Extract from generated code for the DrivingPowerReductionStrategy block (2) w h i l e ( c u r r e n t S t a t e!= STATE STOP STATE ) { s w i t c h ( c u r r e n t S t a t e ) { case STATE START STATE : c u r r e n t S t a t e = STATE WaitForReducePowerOrder ; break ; case STATE WaitForReducePowerOrder : p a r a m s 0 [ 0 ] = &v a l u e ; makenewrequest(& r e q 0, 853, RECEIVE SYNC REQUEST, 0, 0, 0, 1, p a r a m s 0 ) ; req0. syncchannel = & DangerAvoidanceStrategy reducepower\ DrivingPowerReductionStrategy getreducepowerorder ; returnrequest = executeonerequest (& l i s t, & req0 ) ; c l e a r L i s t O f R e q u e s t s (& l i s t ) ; D r i v i n g P o w e r R e d u c t i o n S t r a t e g y a p p l y R e d u c e P o w e r ( v a l u e ) ; c u r r e n t S t a t e = STATE WaitForReducePowerToBePerformed ; break ; case STATE WaitForReducePowerToBePerformed : waitfor ( minreducepowertime, maxreducepowertime ) ; DrivingPowerReductionStrategy reducepowerdone ( ) ; c u r r e n t S t a t e = STATE WaitForReducePowerOrder ; break ; } } r e t u r n NULL ; } 20/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

21 Code Generation: Declaration of Channels s y n c c h a n n e l D a n g e r A v o i d a n c e S t r a t e g y r e d u c e P o w e r DrivingPowerReductionStrategy getreducepowerorder ; u i n t 3 2 t c o n s t DangerAvoidanceStrategy reducepower D r i v i n g P o w e r R e d u c t i o n S t r a t e g y g e t R e d u c e P o w e r O r d e r l o c k= LOCKSADDR+0x0 ; s tr uc t mwmr status s D a n g e r A v o i d a n c e S t r a t e g y r e d u c e P o w e r D r i v i n g P o w e r R e d u c t i o n S t r a t e g y g e t R e d u c e P o w e r O r d e r s t a t u s = MWMR STATUS INITIALIZER (1, 1 ) ; u i n t 8 t DangerAvoidanceStrategy reducepower DrivingPowerReductionStrategy getreducepowerorder data [ ] ; s tr uc t mwmr s DangerAvoidanceStrategy reducepower D r i v i n g P o w e r R e d u c t i o n S t r a t e g y g e t R e d u c e P o w e r O r d e r = MWMR INITIALIZER ( 1, 1, D a n g e r A v o i d a n c e S t r a t e g y r e d u c e P o w e r D r i v i n g P o w e r R e d u c t i o n S t r a t e g y getreducepowerorder data,& DangerAvoidanceStrategy reducepower DrivingPowerReductionStrategy getreducepowerorder status, " DangerAvoidanceStrategy_reducePower DrivingPowerReductionStrategy_getReducePowerOrder ", D a n g e r A v o i d a n c e S t r a t e g y r e d u c e P o w e r D r i v i n g P o w e r R e d u c t i o n S t r a t e g y getreducepowerorder lock ) ; 21/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

22 Code Generation: Extract from Main Program i n t main ( i n t argc, char a r g v [ ] ) {... s tr uc t mwmr s channels array DrivingPowerReductionStrategy [ 1 ] ; channels array DrivingPowerReductionStrategy [ 0 ] =&D a n g e r A v o i d a n c e S t r a t e g y r e d u c e P o w e r D r i v i n g P o w e r R e d u c t i o n S t r a t e g y getreducepowerorder ; p t r =m a l l o c ( s i z e o f ( p t h r e a d t ) ) ; t h r e a d D r i v i n g P o w e r R e d u c t i o n S t r a t e g y= ( p t h r e a d t ) p t r ; a t t r t = m a l l o c ( s i z e o f ( p t h r e a d a t t r t ) ) ; a t t r t >cpucount = 2 ; debugmsg ( " Starting tasks " ) ; pthread create (& thread DrivingPowerReductionStrategy, NULL, mainfunc DrivingPowerReductionStrategy, ( v o i d ) channels array DrivingPowerReductionStrategy ) ; 22/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

23 Using TTool/SoCLib 23/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

24 Using TTool/SoCLib 24/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

25 Limitations Currently Overcome Variations of the interconnect (bus, CAN, etc.) Central manager handling synchronous and asynchronous channels Mid and longer term Simulation speed Currently PowerPCs only: crosscompilers Clustered interconnect 25/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

26 Future Work Extensions Integration of application specific co-processors Animation and performance evaluation with Lip6 tools Feedback of results for Design Space Exploration Long term : Design Space Exploration METROPOLIS SPADE SESAME ARTEMIS To try/download TTool: 26/26 Jan.29, 2016 Institut Mines-Telecom, Paris Sorbonne Universites Virtual Yet Precise Prototyping

Operating Systems. VII. Synchronization

Operating Systems. VII. Synchronization Operating Systems VII. Synchronization Ludovic Apvrille ludovic.apvrille@telecom-paristech.fr Eurecom, office 470 http://soc.eurecom.fr/os/ @OS Eurecom Outline Synchronization issues 2/22 Fall 2017 Institut

More information

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University Che-Wei Chang chewei@mail.cgu.edu.tw Department of Computer Science and Information Engineering, Chang Gung University } 2017/11/15 Midterm } 2017/11/22 Final Project Announcement 2 1. Introduction 2.

More information

Administrivia. Course Objectives. Overview. Lecture Notes Week markem/cs333/ 2. Staff. 3. Prerequisites. 4. Grading. 1. Theory and application

Administrivia. Course Objectives. Overview. Lecture Notes Week markem/cs333/ 2. Staff. 3. Prerequisites. 4. Grading. 1. Theory and application Administrivia 1. markem/cs333/ 2. Staff 3. Prerequisites 4. Grading Course Objectives 1. Theory and application 2. Benefits 3. Labs TAs Overview 1. What is a computer system? CPU PC ALU System bus Memory

More information

Compiling Techniques

Compiling Techniques Lecture 11: Introduction to 13 November 2015 Table of contents 1 Introduction Overview The Backend The Big Picture 2 Code Shape Overview Introduction Overview The Backend The Big Picture Source code FrontEnd

More information

ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University

ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University Prof. Mi Lu TA: Ehsan Rohani Laboratory Exercise #4 MIPS Assembly and Simulation

More information

Synchronous Modelling of Complex Systems

Synchronous Modelling of Complex Systems Synchronous Modelling of Complex Systems Nicolas Halbwachs Verimag, Grenoble joint work with L. Mandel LRI E. Jahier, P. Raymond, X. Nicollin Verimag and D. Lesens Astrium Space Transportation () 1 / 45

More information

Special Nodes for Interface

Special Nodes for Interface fi fi Special Nodes for Interface SW on processors Chip-level HW Board-level HW fi fi C code VHDL VHDL code retargetable compilation high-level synthesis SW costs HW costs partitioning (solve ILP) cluster

More information

The conceptual view. by Gerrit Muller University of Southeast Norway-NISE

The conceptual view. by Gerrit Muller University of Southeast Norway-NISE by Gerrit Muller University of Southeast Norway-NISE e-mail: gaudisite@gmail.com www.gaudisite.nl Abstract The purpose of the conceptual view is described. A number of methods or models is given to use

More information

Reconfigurable Model for RISC Processors

Reconfigurable Model for RISC Processors Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 12-2016 Reconfigurable Model for RISC Processors Thiago Pinheiro Felix da Silva e Lima Follow this and additional

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

High Performance Computing

High Performance Computing Master Degree Program in Computer Science and Networking, 2014-15 High Performance Computing 2 nd appello February 11, 2015 Write your name, surname, student identification number (numero di matricola),

More information

A Formal Model of Clock Domain Crossing and Automated Verification of Time-Triggered Hardware

A Formal Model of Clock Domain Crossing and Automated Verification of Time-Triggered Hardware A Formal Model of Clock Domain Crossing and Automated Verification of Time-Triggered Hardware Julien Schmaltz Institute for Computing and Information Sciences Radboud University Nijmegen The Netherlands

More information

Lecture 05: High-Level Design with SysML. An Introduction to SysML. Where are we? What is a model? The Unified Modeling Language (UML)

Lecture 05: High-Level Design with SysML. An Introduction to SysML. Where are we? What is a model? The Unified Modeling Language (UML) Where are we? Systeme hoher Sicherheit und Qualität Universität Bremen, WS 2017/2018 Lecture 05: High-Level Design with SysML Christoph Lüth, Dieter Hutter, Jan Peleska 01: Concepts of Quality 02: Legal

More information

Abstractions and Decision Procedures for Effective Software Model Checking

Abstractions and Decision Procedures for Effective Software Model Checking Abstractions and Decision Procedures for Effective Software Model Checking Prof. Natasha Sharygina The University of Lugano, Carnegie Mellon University Microsoft Summer School, Moscow, July 2011 Lecture

More information

OHW2013 workshop. An open source PCIe device virtualization framework

OHW2013 workshop. An open source PCIe device virtualization framework OHW2013 workshop An open source PCIe device virtualization framework Plan Context and objectives Design and implementation Future directions Questions Context - ESRF and the ISDD electronic laboratory

More information

Robust Programs with Filtered Iterators

Robust Programs with Filtered Iterators Robust Programs with Filtered Iterators Jiasi Shen, Martin Rinard MIT EECS & CSAIL 1 Standard Scenario Input file Program Output 2 Structured Input Units Input Input unit Input unit Input unit unit Program

More information

Formal Verification of Systems-on-Chip

Formal Verification of Systems-on-Chip Formal Verification of Systems-on-Chip Wolfgang Kunz Department of Electrical & Computer Engineering University of Kaiserslautern, Germany Slide 1 Industrial Experiences Formal verification of Systems-on-Chip

More information

Parallel Polynomial Evaluation

Parallel Polynomial Evaluation Parallel Polynomial Evaluation Jan Verschelde joint work with Genady Yoffe University of Illinois at Chicago Department of Mathematics, Statistics, and Computer Science http://www.math.uic.edu/ jan jan@math.uic.edu

More information

Announcements. Project #1 grades were returned on Monday. Midterm #1. Project #2. Requests for re-grades due by Tuesday

Announcements. Project #1 grades were returned on Monday. Midterm #1. Project #2. Requests for re-grades due by Tuesday Announcements Project #1 grades were returned on Monday Requests for re-grades due by Tuesday Midterm #1 Re-grade requests due by Monday Project #2 Due 10 AM Monday 1 Page State (hardware view) Page frame

More information

CSE370: Introduction to Digital Design

CSE370: Introduction to Digital Design CSE370: Introduction to Digital Design Course staff Gaetano Borriello, Brian DeRenzi, Firat Kiyak Course web www.cs.washington.edu/370/ Make sure to subscribe to class mailing list (cse370@cs) Course text

More information

Real Time Operating Systems

Real Time Operating Systems Real Time Operating ystems Luca Abeni luca.abeni@unitn.it Interacting Tasks Until now, only independent tasks... A job never blocks or suspends A task only blocks on job termination In real world, jobs

More information

ArcGIS Deployment Pattern. Azlina Mahad

ArcGIS Deployment Pattern. Azlina Mahad ArcGIS Deployment Pattern Azlina Mahad Agenda Deployment Options Cloud Portal ArcGIS Server Data Publication Mobile System Management Desktop Web Device ArcGIS An Integrated Web GIS Platform Portal Providing

More information

CMP 338: Third Class

CMP 338: Third Class CMP 338: Third Class HW 2 solution Conversion between bases The TINY processor Abstraction and separation of concerns Circuit design big picture Moore s law and chip fabrication cost Performance What does

More information

Developing software that drives machines. Klaas Gadeyne

Developing software that drives machines. Klaas Gadeyne Developing software that drives machines Klaas Gadeyne Outline +Flanders' Mechatronics Technology Centre +Some aspects of SW development for mechatronic machines The mechatronics domain Software platforms

More information

Ranking Verification Counterexamples: An Invariant guided approach

Ranking Verification Counterexamples: An Invariant guided approach Ranking Verification Counterexamples: An Invariant guided approach Ansuman Banerjee Indian Statistical Institute Joint work with Pallab Dasgupta, Srobona Mitra and Harish Kumar Complex Systems Everywhere

More information

A Parallel Implementation of the. Yuan-Jye Jason Wu y. September 2, Abstract. The GTH algorithm is a very accurate direct method for nding

A Parallel Implementation of the. Yuan-Jye Jason Wu y. September 2, Abstract. The GTH algorithm is a very accurate direct method for nding A Parallel Implementation of the Block-GTH algorithm Yuan-Jye Jason Wu y September 2, 1994 Abstract The GTH algorithm is a very accurate direct method for nding the stationary distribution of a nite-state,

More information

Multicore Semantics and Programming

Multicore Semantics and Programming Multicore Semantics and Programming Peter Sewell Tim Harris University of Cambridge Oracle October November, 2015 p. 1 These Lectures Part 1: Multicore Semantics: the concurrency of multiprocessors and

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

A heuristic algorithm for the Aircraft Landing Problem

A heuristic algorithm for the Aircraft Landing Problem 22nd International Congress on Modelling and Simulation, Hobart, Tasmania, Australia, 3 to 8 December 2017 mssanz.org.au/modsim2017 A heuristic algorithm for the Aircraft Landing Problem Amir Salehipour

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

The Montana Toolset: Formal Analysis of AADL Specifications

The Montana Toolset: Formal Analysis of AADL Specifications Fremont Associates Process Project QA The Montana Toolset: Formal Analysis of AADL Specifications SAE AS-2 2 Working Group Seal Beach, California 27 January 2005 Outline Origins, Origins, Goals, Plans

More information

Finite-State Model Checking

Finite-State Model Checking EECS 219C: Computer-Aided Verification Intro. to Model Checking: Models and Properties Sanjit A. Seshia EECS, UC Berkeley Finite-State Model Checking G(p X q) Temporal logic q p FSM Model Checker Yes,

More information

Design of Embedded Systems: Models, Validation and Synthesis (EE 249) Lecture 9

Design of Embedded Systems: Models, Validation and Synthesis (EE 249) Lecture 9 Design of Embedded Systems: Models, Validation and Synthesis (EE 249) Lecture 9 Prof. Dr. Reinhard von Hanxleden Christian-Albrechts Universität Kiel Department of Computer Science Real-Time Systems and

More information

Quantitative Safety Analysis of Non-Deterministic System Architectures

Quantitative Safety Analysis of Non-Deterministic System Architectures Quantitative Safety Analysis of Non-Deterministic System Architectures Adrian Beer University of Konstanz Department of Computer and Information Science Chair for Software Engineering Adrian.Beer@uni.kn

More information

GPU Acceleration of Cutoff Pair Potentials for Molecular Modeling Applications

GPU Acceleration of Cutoff Pair Potentials for Molecular Modeling Applications GPU Acceleration of Cutoff Pair Potentials for Molecular Modeling Applications Christopher Rodrigues, David J. Hardy, John E. Stone, Klaus Schulten, Wen-Mei W. Hwu University of Illinois at Urbana-Champaign

More information

Outline. policies for the first part. with some potential answers... MCS 260 Lecture 10.0 Introduction to Computer Science Jan Verschelde, 9 July 2014

Outline. policies for the first part. with some potential answers... MCS 260 Lecture 10.0 Introduction to Computer Science Jan Verschelde, 9 July 2014 Outline 1 midterm exam on Friday 11 July 2014 policies for the first part 2 questions with some potential answers... MCS 260 Lecture 10.0 Introduction to Computer Science Jan Verschelde, 9 July 2014 Intro

More information

Marwan Burelle. Parallel and Concurrent Programming. Introduction and Foundation

Marwan Burelle.  Parallel and Concurrent Programming. Introduction and Foundation and and marwan.burelle@lse.epita.fr http://wiki-prog.kh405.net Outline 1 2 and 3 and Evolutions and Next evolutions in processor tends more on more on growing of cores number GPU and similar extensions

More information

Branch Prediction based attacks using Hardware performance Counters IIT Kharagpur

Branch Prediction based attacks using Hardware performance Counters IIT Kharagpur Branch Prediction based attacks using Hardware performance Counters IIT Kharagpur March 19, 2018 Modular Exponentiation Public key Cryptography March 19, 2018 Branch Prediction Attacks 2 / 54 Modular Exponentiation

More information

Engineered System Design and Integration A semantic domain for modeling cyber-physical systems

Engineered System Design and Integration A semantic domain for modeling cyber-physical systems Engineered System Design and Integration A semantic domain for modeling cyber-physical systems Pieter J. Mosterman The importance of computation Together with theory and experimentation, computational

More information

CS 700: Quantitative Methods & Experimental Design in Computer Science

CS 700: Quantitative Methods & Experimental Design in Computer Science CS 700: Quantitative Methods & Experimental Design in Computer Science Sanjeev Setia Dept of Computer Science George Mason University Logistics Grade: 35% project, 25% Homework assignments 20% midterm,

More information

Formal Verification of Systems-on-Chip Industrial Practices

Formal Verification of Systems-on-Chip Industrial Practices Formal Verification of Systems-on-Chip Industrial Practices Wolfgang Kunz Department of Electrical & Computer Engineering University of Kaiserslautern, Germany Slide 1 Industrial Experiences Formal verification

More information

Digital Systems. Validation, verification. R. Pacalet January 4, 2018

Digital Systems. Validation, verification. R. Pacalet January 4, 2018 Digital Systems Validation, verification R. Pacalet January 4, 2018 2/98 Simulation Extra design tasks Reference model Simulation environment A simulation cannot be exhaustive Can discover a bug Cannot

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Dynamic Semantics. Dynamic Semantics. Operational Semantics Axiomatic Semantics Denotational Semantic. Operational Semantics

Dynamic Semantics. Dynamic Semantics. Operational Semantics Axiomatic Semantics Denotational Semantic. Operational Semantics Dynamic Semantics Operational Semantics Denotational Semantic Dynamic Semantics Operational Semantics Operational Semantics Describe meaning by executing program on machine Machine can be actual or simulated

More information

Synchronous Reactive Systems

Synchronous Reactive Systems Synchronous Reactive Systems Stephen Edwards sedwards@synopsys.com Synopsys, Inc. Outline Synchronous Reactive Systems Heterogeneity and Ptolemy Semantics of the SR Domain Scheduling the SR Domain 2 Reactive

More information

Software Verification

Software Verification Software Verification Grégoire Sutre LaBRI, University of Bordeaux, CNRS, France Summer School on Verification Technology, Systems & Applications September 2008 Grégoire Sutre Software Verification VTSA

More information

Worst-Case Execution Time Analysis. LS 12, TU Dortmund

Worst-Case Execution Time Analysis. LS 12, TU Dortmund Worst-Case Execution Time Analysis Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 02, 03 May 2016 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 53 Most Essential Assumptions for Real-Time Systems Upper

More information

Pour obtenir le grade de. DOCTEUR DE L UNIVERSITÉ DE GRENOBLE Spécialité : Informatique. Jean-François KEMPF

Pour obtenir le grade de. DOCTEUR DE L UNIVERSITÉ DE GRENOBLE Spécialité : Informatique. Jean-François KEMPF THÈSE Pour obtenir le grade de DOCTEUR DE L UNIVERSITÉ DE GRENOBLE Spécialité : Informatique Arrêté ministérial : 7 août 2006 Présentée par Jean-François KEMPF Thèse dirigée par Oded MALER et codirigée

More information

ECE290 Fall 2012 Lecture 22. Dr. Zbigniew Kalbarczyk

ECE290 Fall 2012 Lecture 22. Dr. Zbigniew Kalbarczyk ECE290 Fall 2012 Lecture 22 Dr. Zbigniew Kalbarczyk Today LC-3 Micro-sequencer (the control store) LC-3 Micro-programmed control memory LC-3 Micro-instruction format LC -3 Micro-sequencer (the circuitry)

More information

Computer Architecture

Computer Architecture Computer Architecture QtSpim, a Mips simulator S. Coudert and R. Pacalet January 4, 2018..................... Memory Mapping 0xFFFF000C 0xFFFF0008 0xFFFF0004 0xffff0000 0x90000000 0x80000000 0x7ffff4d4

More information

Worst-Case Execution Time Analysis. LS 12, TU Dortmund

Worst-Case Execution Time Analysis. LS 12, TU Dortmund Worst-Case Execution Time Analysis Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 09/10, Jan., 2018 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 43 Most Essential Assumptions for Real-Time Systems Upper

More information

Runtime Model Predictive Verification on Embedded Platforms 1

Runtime Model Predictive Verification on Embedded Platforms 1 Runtime Model Predictive Verification on Embedded Platforms 1 Pei Zhang, Jianwen Li, Joseph Zambreno, Phillip H. Jones, Kristin Yvonne Rozier Presenter: Pei Zhang Iowa State University peizhang@iastate.edu

More information

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1>

Chapter 3. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 3 <1> Chapter 3 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 3 Chapter 3 :: Topics Introduction Latches and Flip-Flops Synchronous Logic Design Finite

More information

- Why aren t there more quantum algorithms? - Quantum Programming Languages. By : Amanda Cieslak and Ahmana Tarin

- Why aren t there more quantum algorithms? - Quantum Programming Languages. By : Amanda Cieslak and Ahmana Tarin - Why aren t there more quantum algorithms? - Quantum Programming Languages By : Amanda Cieslak and Ahmana Tarin Why aren t there more quantum algorithms? there are only a few problems for which quantum

More information

A Quantum Chemistry Domain-Specific Language for Heterogeneous Clusters

A Quantum Chemistry Domain-Specific Language for Heterogeneous Clusters A Quantum Chemistry Domain-Specific Language for Heterogeneous Clusters ANTONINO TUMEO, ORESTE VILLA Collaborators: Karol Kowalski, Sriram Krishnamoorthy, Wenjing Ma, Simone Secchi May 15, 2012 1 Outline!

More information

Real-Time Software Transactional Memory: Contention Managers, Time Bounds, and Implementations

Real-Time Software Transactional Memory: Contention Managers, Time Bounds, and Implementations Real-Time Software Transactional Memory: Contention Managers, Time Bounds, and Implementations Mohammed El-Shambakey Dissertation Submitted to the Faculty of the Virginia Polytechnic Institute and State

More information

ab initio Electronic Structure Calculations

ab initio Electronic Structure Calculations ab initio Electronic Structure Calculations New scalability frontiers using the BG/L Supercomputer C. Bekas, A. Curioni and W. Andreoni IBM, Zurich Research Laboratory Rueschlikon 8803, Switzerland ab

More information

c 2010 Deepti Kumar Chivukula

c 2010 Deepti Kumar Chivukula c 2010 Deepti Kumar Chivukula BUS SCHEDULING IMPLEMENTATION ON THE CELL PROCESSOR BY DEEPTI KUMAR CHIVUKULA THESIS Submitted in partial fulfillment of the requirements for the degree of Master of Science

More information

Traffic Simulation Toolbox User s Manual

Traffic Simulation Toolbox User s Manual User s Manual Jesse Haber-Kucharsky Shreyas Sundaram University of Waterloo Department of Electrical and Computer Engineering May 31, 2011 Contents 1 Introduction 1 2 Basic Use 2 2.1 Quick-Start Example.......................

More information

CSE 380 Computer Operating Systems

CSE 380 Computer Operating Systems CSE 380 Computer Operating Systems Instructor: Insup Lee & Dianna Xu University of Pennsylvania, Fall 2003 Lecture Note 3: CPU Scheduling 1 CPU SCHEDULING q How can OS schedule the allocation of CPU cycles

More information

TDDI04, K. Arvidsson, IDA, Linköpings universitet CPU Scheduling. Overview: CPU Scheduling. [SGG7] Chapter 5. Basic Concepts.

TDDI04, K. Arvidsson, IDA, Linköpings universitet CPU Scheduling. Overview: CPU Scheduling. [SGG7] Chapter 5. Basic Concepts. TDDI4 Concurrent Programming, Operating Systems, and Real-time Operating Systems CPU Scheduling Overview: CPU Scheduling CPU bursts and I/O bursts Scheduling Criteria Scheduling Algorithms Multiprocessor

More information

OBEUS. (Object-Based Environment for Urban Simulation) Shareware Version. Itzhak Benenson 1,2, Slava Birfur 1, Vlad Kharbash 1

OBEUS. (Object-Based Environment for Urban Simulation) Shareware Version. Itzhak Benenson 1,2, Slava Birfur 1, Vlad Kharbash 1 OBEUS (Object-Based Environment for Urban Simulation) Shareware Version Yaffo model is based on partition of the area into Voronoi polygons, which correspond to real-world houses; neighborhood relationship

More information

ArcGIS Enterprise: What s New. Philip Heede Shannon Kalisky Melanie Summers Sam Williamson

ArcGIS Enterprise: What s New. Philip Heede Shannon Kalisky Melanie Summers Sam Williamson ArcGIS Enterprise: What s New Philip Heede Shannon Kalisky Melanie Summers Sam Williamson ArcGIS Enterprise is the new name for ArcGIS for Server What is ArcGIS Enterprise ArcGIS Enterprise is powerful

More information

N-Synchronous Kahn Networks A Relaxed Model of Synchrony for Real-Time Systems

N-Synchronous Kahn Networks A Relaxed Model of Synchrony for Real-Time Systems N-Synchronous Kahn Networks A Relaxed Model of Synchrony for Real-Time Systems Albert Cohen 1, Marc Duranton 2, Christine Eisenbeis 1, Claire Pagetti 1,4, Florence Plateau 3 and Marc Pouzet 3 POPL, Charleston

More information

Embedded Systems 14. Overview of embedded systems design

Embedded Systems 14. Overview of embedded systems design Embedded Systems 14-1 - Overview of embedded systems design - 2-1 Point of departure: Scheduling general IT systems In general IT systems, not much is known about the computational processes a priori The

More information

CHAPTER 5 - PROCESS SCHEDULING

CHAPTER 5 - PROCESS SCHEDULING CHAPTER 5 - PROCESS SCHEDULING OBJECTIVES To introduce CPU scheduling, which is the basis for multiprogrammed operating systems To describe various CPU-scheduling algorithms To discuss evaluation criteria

More information

Antonio Falabella. 3 rd nternational Summer School on INtelligent Signal Processing for FrontIEr Research and Industry, September 2015, Hamburg

Antonio Falabella. 3 rd nternational Summer School on INtelligent Signal Processing for FrontIEr Research and Industry, September 2015, Hamburg INFN - CNAF (Bologna) 3 rd nternational Summer School on INtelligent Signal Processing for FrontIEr Research and Industry, 14-25 September 2015, Hamburg 1 / 44 Overview 1 2 3 4 5 2 / 44 to Computing The

More information

Formal Methods in Software Engineering

Formal Methods in Software Engineering Formal Methods in Software Engineering Modeling Prof. Dr. Joel Greenyer October 21, 2014 Organizational Issues Tutorial dates: I will offer two tutorial dates Tuesdays 15:00-16:00 in A310 (before the lecture,

More information

Embedded Systems 23 BF - ES

Embedded Systems 23 BF - ES Embedded Systems 23-1 - Measurement vs. Analysis REVIEW Probability Best Case Execution Time Unsafe: Execution Time Measurement Worst Case Execution Time Upper bound Execution Time typically huge variations

More information

Comp 11 Lectures. Mike Shah. July 26, Tufts University. Mike Shah (Tufts University) Comp 11 Lectures July 26, / 40

Comp 11 Lectures. Mike Shah. July 26, Tufts University. Mike Shah (Tufts University) Comp 11 Lectures July 26, / 40 Comp 11 Lectures Mike Shah Tufts University July 26, 2017 Mike Shah (Tufts University) Comp 11 Lectures July 26, 2017 1 / 40 Please do not distribute or host these slides without prior permission. Mike

More information

Scheduling of Concurrent Reactive Objects for Embedded Real-Time Systems

Scheduling of Concurrent Reactive Objects for Embedded Real-Time Systems Scheduling of Concurrent Reactive Objects for Embedded Real-Time Systems Per Lindgren, Professor Embedded Systems Luleå University of Technology Sweden using Microsoft Powerpoint EISLAB Luleå University

More information

Behavioral Simulations in MapReduce

Behavioral Simulations in MapReduce Behavioral Simulations in MapReduce Guozhang Wang, Marcos Vaz Salles, Benjamin Sowell, Xun Wang, Tuan Cao, Alan Demers, Johannes Gehrke, Walker White Cornell University 1 What are Behavioral Simulations?

More information

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0 NEC PerforCache Influence on M-Series Disk Array Behavior and Performance. Version 1.0 Preface This document describes L2 (Level 2) Cache Technology which is a feature of NEC M-Series Disk Array implemented

More information

Modeling the Effects of AUTOSAR Overhead on Automotive Application Software Timing and Schedulability

Modeling the Effects of AUTOSAR Overhead on Automotive Application Software Timing and Schedulability Modeling the Effects of AUTOSAR Overhead on Automotive Application Software Timing and Schedulability by Manish Chauhan A thesis presented to the University of Waterloo in fulfillment of the thesis requirement

More information

Seamless Model Driven Development and Tool Support for Embedded Software-Intensive Systems

Seamless Model Driven Development and Tool Support for Embedded Software-Intensive Systems Seamless Model Driven Development and Tool Support for Embedded Software-Intensive Systems Computer Journal Lecture - 22nd June 2009 Manfred Broy Technische Universität München Institut für Informatik

More information

A New Kind of Language for Complex Engineering Systems:

A New Kind of Language for Complex Engineering Systems: NKS 2004 A New Kind of Language for Complex Engineering Systems: Case Study: NASA s Apollo Program Benjamin Koo Edward F. Crawley Engineering Systems Division Department of Aeronautical and Astronautical

More information

GENERALIZATION IN THE NEW GENERATION OF GIS. Dan Lee ESRI, Inc. 380 New York Street Redlands, CA USA Fax:

GENERALIZATION IN THE NEW GENERATION OF GIS. Dan Lee ESRI, Inc. 380 New York Street Redlands, CA USA Fax: GENERALIZATION IN THE NEW GENERATION OF GIS Dan Lee ESRI, Inc. 380 New York Street Redlands, CA 92373 USA dlee@esri.com Fax: 909-793-5953 Abstract In the research and development of automated map generalization,

More information

Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits

Chapter 3. Chapter 3 :: Topics. Introduction. Sequential Circuits Chapter 3 Chapter 3 :: Topics igital esign and Computer Architecture, 2 nd Edition avid Money Harris and Sarah L. Harris Introduction Latches and Flip Flops Synchronous Logic esign Finite State Machines

More information

ArcGIS Enterprise: What s New. Philip Heede Shannon Kalisky Melanie Summers Shreyas Shinde

ArcGIS Enterprise: What s New. Philip Heede Shannon Kalisky Melanie Summers Shreyas Shinde ArcGIS Enterprise: What s New Philip Heede Shannon Kalisky Melanie Summers Shreyas Shinde ArcGIS Enterprise is the new name for ArcGIS for Server ArcGIS Enterprise Software Components ArcGIS Server Portal

More information

Cactus Tools for Petascale Computing

Cactus Tools for Petascale Computing Cactus Tools for Petascale Computing Erik Schnetter Reno, November 2007 Gamma Ray Bursts ~10 7 km He Protoneutron Star Accretion Collapse to a Black Hole Jet Formation and Sustainment Fe-group nuclei Si

More information

MAGMA MIC 1.0: Linear Algebra Library for Intel Xeon Phi Coprocessors

MAGMA MIC 1.0: Linear Algebra Library for Intel Xeon Phi Coprocessors MAGMA MIC 1.0: Linear Algebra Library for Intel Xeon Phi Coprocessors J. Dongarra, M. Gates, A. Haidar, Y. Jia, K. Kabir, P. Luszczek, and S. Tomov University of Tennessee, Knoxville 05 / 03 / 2013 MAGMA:

More information

Effective Entropy for Memory Randomization Defenses

Effective Entropy for Memory Randomization Defenses Effective Entropy for Memory Randomization Defenses William Herlands, Thomas Hobson, Paula Donovan 7 th Workshop on Cyber Security Experimentation and Test 18 August 2014 This work is sponsored by Assistant

More information

Real Time Operating Systems

Real Time Operating Systems Real Time Operating ystems hared Resources Luca Abeni Credits: Luigi Palopoli, Giuseppe Lipari, and Marco Di Natale cuola uperiore ant Anna Pisa -Italy Real Time Operating ystems p. 1 Interacting Tasks

More information

Semantic Equivalences and the. Verification of Infinite-State Systems 1 c 2004 Richard Mayr

Semantic Equivalences and the. Verification of Infinite-State Systems 1 c 2004 Richard Mayr Semantic Equivalences and the Verification of Infinite-State Systems Richard Mayr Department of Computer Science Albert-Ludwigs-University Freiburg Germany Verification of Infinite-State Systems 1 c 2004

More information

Parallel PIPS-SBB Multi-level parallelism for 2-stage SMIPS. Lluís-Miquel Munguia, Geoffrey M. Oxberry, Deepak Rajan, Yuji Shinano

Parallel PIPS-SBB Multi-level parallelism for 2-stage SMIPS. Lluís-Miquel Munguia, Geoffrey M. Oxberry, Deepak Rajan, Yuji Shinano Parallel PIPS-SBB Multi-level parallelism for 2-stage SMIPS Lluís-Miquel Munguia, Geoffrey M. Oxberry, Deepak Rajan, Yuji Shinano ... Our contribution PIPS-PSBB*: Multi-level parallelism for Stochastic

More information

Your Virtual Workforce. On Demand. Worldwide. COMPANY PRESENTATION. clickworker GmbH 2017

Your Virtual Workforce. On Demand. Worldwide. COMPANY PRESENTATION. clickworker GmbH 2017 Your Virtual Workforce. On Demand. Worldwide. COMPANY PRESENTATION 2017 CLICKWORKER AT A GLANCE Segment: Paid Crowdsourcing / Microtasking Services: Text Creation (incl. SEO Texts), AI-Training Data, Internet

More information

Towards a Mechanised Denotational Semantics for Modelica

Towards a Mechanised Denotational Semantics for Modelica Towards a Mechanised Denotational Semantics for Modelica Simon Foster Bernhard Thiele Jim Woodcock Peter Fritzson Department of Computer Science, University of York PELAB, Linköping University 3rd February

More information

Welcome to MCS 572. content and organization expectations of the course. definition and classification

Welcome to MCS 572. content and organization expectations of the course. definition and classification Welcome to MCS 572 1 About the Course content and organization expectations of the course 2 Supercomputing definition and classification 3 Measuring Performance speedup and efficiency Amdahl s Law Gustafson

More information

Introduction to Portal for ArcGIS

Introduction to Portal for ArcGIS Introduction to Portal for ArcGIS Derek Law Product Management March 10 th, 2015 Esri Developer Summit 2015 Agenda Web GIS pattern Product overview Installation and deployment Security and groups Configuration

More information

Monotonic Abstraction in Parameterized Verification

Monotonic Abstraction in Parameterized Verification Monotonic Abstraction in Parameterized Verification Parosh Aziz Abdulla 1 Department of Information Technology Uppsala University Sweden Giorgio Delzanno 2 Dipartimento Informatica e Scienze dell Informazione

More information

Introduction to ArcGIS Server Development

Introduction to ArcGIS Server Development Introduction to ArcGIS Server Development Kevin Deege,, Rob Burke, Kelly Hutchins, and Sathya Prasad ESRI Developer Summit 2008 1 Schedule Introduction to ArcGIS Server Rob and Kevin Questions Break 2:15

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

AUTUMN BREAK HOLIDAY HOME WORK CLASS XI BUSINESS STUDIES

AUTUMN BREAK HOLIDAY HOME WORK CLASS XI BUSINESS STUDIES BUSINESS STUDIES Do all the questions from NCERT of the following chapters in homework copy 1) Public, Private and Global enterprises 2) Business services 3) Emerging mode of business 4) Social responsibility

More information

Clojure Concurrency Constructs, Part Two. CSCI 5828: Foundations of Software Engineering Lecture 13 10/07/2014

Clojure Concurrency Constructs, Part Two. CSCI 5828: Foundations of Software Engineering Lecture 13 10/07/2014 Clojure Concurrency Constructs, Part Two CSCI 5828: Foundations of Software Engineering Lecture 13 10/07/2014 1 Goals Cover the material presented in Chapter 4, of our concurrency textbook In particular,

More information

Analysis of a Boost Converter Circuit Using Linear Hybrid Automata

Analysis of a Boost Converter Circuit Using Linear Hybrid Automata Analysis of a Boost Converter Circuit Using Linear Hybrid Automata Ulrich Kühne LSV ENS de Cachan, 94235 Cachan Cedex, France, kuehne@lsv.ens-cachan.fr 1 Introduction Boost converter circuits are an important

More information

2 k Factorial Designs Raj Jain

2 k Factorial Designs Raj Jain 2 k Factorial Designs Raj Jain Washington University in Saint Louis Saint Louis, MO 63130 Jain@cse.wustl.edu These slides are available on-line at: http://www.cse.wustl.edu/~jain/cse567-06/ 17-1 Overview!

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Embedded Systems Development

Embedded Systems Development Embedded Systems Development Lecture 2 Finite Automata & SyncCharts Daniel Kästner AbsInt Angewandte Informatik GmbH kaestner@absint.com Some things I forgot to mention 2 Remember the HISPOS registration

More information

INF2270 Spring Philipp Häfliger. Lecture 8: Superscalar CPUs, Course Summary/Repetition (1/2)

INF2270 Spring Philipp Häfliger. Lecture 8: Superscalar CPUs, Course Summary/Repetition (1/2) INF2270 Spring 2010 Philipp Häfliger Summary/Repetition (1/2) content From Scalar to Superscalar Lecture Summary and Brief Repetition Binary numbers Boolean Algebra Combinational Logic Circuits Encoder/Decoder

More information