Interconnect Topology Optimization. Interconnect Topology Design

Size: px
Start display at page:

Download "Interconnect Topology Optimization. Interconnect Topology Design"

Transcription

1 Interconnect opology Optimiation Interconnect opology Design otal wire length minimiation Minimum spanning tree Steiner tree Path length minimiation Bounded radius bounded cost BRBC tree A-tree Delay minimiation WBA-tree P-tree Rats-tree 1

2 Prim Algorithm for Minimum Spanning rees Grow a connected subtree from the source, one node at a time. At each step, choose the closest un-connected node and add it to the subtree. X Y s Steiner ree Steiner points and Steiner tree problem Heuristic algorithms for Steiner tree problem Edge-merging based algorithm 1-Steiner algorithm Edge-insertion based algorithm 2

3 Merging-based Steiner ree 1-Steiner ree 3

4 Edge-Insertion based Steiner ree Interconnect opology Optimiation Under Linear Delay Model [Cong-Kahng-Robin, -CAD 92] Conventional Routing Algorithms Are Not Good Enough Minimum spanning tree may have very long source-sin path. Shortest path tree may have very large routing cost. 4

5 Example: A Routing Problem Source Source Critical sin Critical sin Definitions Given Net N with source s and connected by tree. Radius of net N: distance from the source to the furthest sin. Radius of a routing tree r: length of the longest path from the root to a leaf. Cost of an edge: distance between two endpoints other weights are o. Cost of a routing tree cost: sum of the edge costs in. minpath G u,v: shortest path from u to v in G dist G u,v: cost of minpath G u,v. r s source radius of the net routing tree 5

6 Problem Formulation Basic Idea: Restrict the tree radius while minimiing the routing cost Bounded radius minimum spanning tree problem BRMS: Given A net N with radius R Find A minimum cost tree with radius r 1+ εr source ε= radius=1,cost=4.95 source ε=1 radius=1.77,cost=4.26 source ε= radius=4.3,cost=4.3 trade-off between radius and the cost of routing trees Parameter ε controls the trade-off between radius and cost ε = minimum spanning tree; ε = shortest path tree BPRIIM Algorithm Bounded-Radius Minimum Spanning rees Given net N with source s and radius R, and parameter ε. Grow a connected subtree from the source, one node at a time At each step, choose the closest pair x and y N- If dist s, x + costx,y 1+εR, add x,y Else bactrac along minpath s,x to find x such that dist s, x + costx, y R, then add x, y X X Y Y S dist s,x+costx,y S X 1+ εr dist s,x +costx,y R Slac εr is introduced at each bactrace so we do not have to bactrace too often. 6

7 An Improved Algorithm -- BRBC [Cong-Kahng-Robin, -CAD 92] Construct MS and SP, Q = MS; Construct L -- a depth-first tour of MS; raverse L while eeping a running total S of traversed edge costs, when reaching L i If S ε dist SP S, L i then add minpath SP s, L i to Q and reset S =, Else continue traverse L; Construct the shortest path tree of Q. s s s L depth-first tour L MS L i L i Graph Q if S=dist L L i,l i ε dist SP S, L i then add minpath SP s, L i to Q and reset S = BRBC rees Have Bounded Radius heorem 1: r 1+ ε R Proof: For any vertex x, let y be the last vertex before x in L that we add minpath SP s, L. By the choice of y, we have dist L y,x ε dist SP s,x ε R herefore, dist Q s,x dist Q s,y +dist Q y,x dist SP s,y +dist L y,x R+εR =1+ εr x y dist SP s,y R s Graph Q tour L y x dist L y,x ε dist SP S, x εr s 7

8 BRBC rees Have Bounded Cost heorem 2: cost 1+2/ ε costms. Proof: Let v 1 v 2 v be the vertices that we add minpath SP s,v i Note that is a subgraph of Q cost Q = cost MS + cost MS + i = 1 i = 1 1 cost MS + cost L 2 cost MS + cost MS dist 1 SP dist s, L v cost MS dist L v i-1,v i ε dist SP S, v i Related wor by [Awarbuch - Barat - Peleg, PODC-9] v i i 1, v i v i-1 s s v i Graph Q tour L Interconnect opology Design Formulation Under Distributed RC Delay Model t = = all nodes t 1 t 1 t 2 t t 3 4 R C + = = = = t 2 R d R R R = all nodes + t 3 C C all sins all nodes C all sins d + t 4 pl length C R d + pl R pl C MS SP + QMS Constant C Objective: Minimie α length + β all sins pl + γ all nodes pl 8

9 Impact of Resistance Ratio Definition: R d /R Driver resistance versus unit wire resistance Determined by the echnology: Reduce device dimension R R d R d /R Impact on Interconnect Optimiation: t length 1 = R d C... t = 2 R C pl all sins... t = 3 R C pl... all nodes MS SP QMS Comparison of hree ypes of rees OS SP QMS OS cost 9optimal 11 1 SP cost optimal 31 QMS cost optimal 9

10 Results on Interconnect opology Optimiation A-REE: Generalied Rectilinear Minimum Steiner Arborescence Steiner ree Advantage of A-tree: A-ree Always a SP t 2 is minimum Minimiing t 1 Minimiing t 3 for most A-trees Objective: Minimie the total wirelength of A-tree: Simultaneous minimiation of t 1,t 2 and t 3. Rectilinear Steiner Arborescence Algorithm [Rao-Sadayappan-Huang 92] RSA: Rectilinear Steiner Arborescence shortest path Steiner tree, A-tree in short RSA algorithm Start with a forest of n single-node A-trees, repeatedly combining two A-trees into a new one 1

11 Performance of RSA Algorithm ime Complexity On log n. Wirelength of the tree by RSA algorithm 2 lengthrsma RSMA: Rectilinear Steiner Minimum Arborescence A-tree Algorithm [Cong-Leung-Zhou, DAC 93] Start with a forest of n single-node A-trees Apply a sequence of moves D Grow an existing A-tree, or D Combine two A-trees into a new one erminate when only one A-tree is left 11

12 A-tree AlgorithmCont d p dominates q if p x >= q x and p y >= q y. DOMp, F : the set of nodes in F dominated by p. Given a node p, define NWp: {x, y x < p x, y > p y }. SEp, SWp, NEp, Np, Sp, Wp, Ep similarly. Given node p, define: MFp, F -- nodes in DOMp, F with minimum rectilinar distance from p, dfp, F -- the distance from p to any node in MFp, F. mf west p, F -- the one with smallest x-coordinate in MFp, F. mf south p, F defined similarly. A-tree AlgorithmCont d Given p as a root in F : mxp, F -- the node in NWp ROOF, not bloced from p and have the minimum horiontal distance from p. dxp, F -- the horiontal distance between p and mxp, F or if mxp, F doesn t exist myp, F, dyf similarly defined 12

13 ype-1 Safe Move Combine two A-trees into a new one mx p dxp, F >= dfp, F dyp, F >= dfp, F mf my Move: p to mf west p, F ype-2 Safe Move mx p p my mf south dxp, F >= dfp, F dyp, F < dfp, F mx p p mf south my Move: southward, p to p with length min{dist y mf south p, F, p, dyp, F } 13

14 ype-3 Safe Move mx p p mf west my dxp, F < dfp, F dyp, F >= dfp, F mx p p mf west Move: westward, p to p with length min{dist x mf west p, F, p, dxp, F } my Heuristic Moves ype-1 and ype-2 Heuristic Moves: Combines two A-trees into a new one H1: select node p such that p =mf west p, F is farthest away from the source and introduce a path fromp to p H2: select two nodes p 1, p 2 such that p =min{p 1 x, p 2 x }, min{p 1 y, p 2 y } is farthest away from the source and connect p 1 and p 2 to p 14

15 Definitions: Optimality of a Move F is the forest constructed after the -th move by the A-tree algorithm F is the minimum-cost rectilinear Steiner A-tree containing F as a subgraph F is the optimal A-tree F m is the A-tree constructed by our algorithm Optimality of a Move: If costf =costf +1 then the +1-th is called an optimal move heorem All three types of safe moves are optimal moves Lower Bound Computation of Optimal A-ree Lower Bound Computation: After the -th move, we can compute an upper bound ERROR of costf +1 - costf Note that i ERROR = if the -th move is a safe move ii ERROR > if the -th move is a heuristic move cost cost* + Σ ERROR : constructed by the A-tree algorithm *: optimal A-tree Results obtained from the Lower Bound Computation: 94% of the moves are safe moves 45% of the A-trees constructed by the algorithm are optimal the A-trees constructed by the algorithm are at most 4% from optimal 15

16 Steiner Elmore Routing reeser Heuristic [Boese-Kahng-Robins, DAC 93] Use Elmore Delay Model directly in construction of routing tree Add nodes to one-by-one lie Prim s MS algorithm. At each step, choose v and u s.t. i he linear weighted Elmore-delay to the critical sins has minimum increase SER-C algorithm or ii he maximum Elmore-delay to any sin has minimum increase SER algorithm. Examples of SER-C Construction source a Node 2 or 4 critical source d Node 6 critical source source 2 b Node 3 or 7 critical c Node 5 critical also 1-Steiner tree source source 2 e Node 8 critical f Node 9 critical also Steiner ER 16

17 Some heoretical Results on Optimal Routing ree Under Elmore Delay Model [Boese-Kahng-McCoy-Robins, DAC 94] When minimiing linear weighted Elmore delay to the critical sins, there exists optimal tree on the Hanan-grid. When minimiing maximum Elmore delay in routing tree, optimal tree may not lie on the Hanan-grid. 1,3c=,R=1.75 2,3c= 1.63,2c=.37 2,c= unit R=1, unit c=1 source 1.5, Performance Driven Multisource Routing Problem [Cong-Madden, ISCAS 95] Previous wor: Assume a single source driving one or more sin nodes Contribution: First wor to address nets where each pin may act as a source, sin, or both. Signal busses are examples of multisource nets. 17

18 An Example Multisource Routing Problem Minimie ΣWi,j delayp i,p j weighted delay L total tree length 18

Steiner Trees in Chip Design. Jens Vygen. Hangzhou, March 2009

Steiner Trees in Chip Design. Jens Vygen. Hangzhou, March 2009 Steiner Trees in Chip Design Jens Vygen Hangzhou, March 2009 Introduction I A digital chip contains millions of gates. I Each gate produces a signal (0 or 1) once every cycle. I The output signal of a

More information

Minimum Moment Steiner Trees

Minimum Moment Steiner Trees Minimum Moment Steiner Trees Wangqi Qiu Weiping Shi Abstract For a rectilinear Steiner tree T with a root, define its k-th moment M k (T ) = (d T (u)) k du, T where the integration is over all edges of

More information

Utilizing Redundancy for Timing Critical Interconnect

Utilizing Redundancy for Timing Critical Interconnect 1 Utilizing Redundancy for Timing Critical Interconnect Shiyan Hu, Qiuyang Li, Jiang Hu, Peng Li Abstract Conventionally, the topology of signal net routing is almost always restricted to Steiner trees,

More information

Accurate Estimation of Global Buffer Delay within a Floorplan

Accurate Estimation of Global Buffer Delay within a Floorplan Accurate Estimation of Global Buffer Delay within a Floorplan Charles J. Alpert 1, Jiang Hu 2, Sachin S. Sapatnekar 3, and C. N. Sze 2 1 IBM Corp., 11501 Burnet Road, Austin, TX 78758, alpert@us.ibm.com

More information

Simultaneous Buffer and Wire Sizing for Performance and Power Optimization

Simultaneous Buffer and Wire Sizing for Performance and Power Optimization Simultaneous Buffer and Wire Sizing for Performance and Power Optimization Jason Cong, Cheng-Kok Koh cong, kohck @cs.ucla.edu Computer Science Dept., UCLA Kwok-Shing Leung ksleung@ichips.intel.com Intel

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 fall 2006 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred [Mic94] G. De Micheli Synthesis and Optimization of Digital Circuits McGraw-Hill, 1994. [CLR90]

More information

Making Fast Buffer Insertion Even Faster via Approximation Techniques

Making Fast Buffer Insertion Even Faster via Approximation Techniques Making Fast Buffer Insertion Even Faster via Approximation Techniques Zhuo Li, C. N. Sze, Jiang Hu and Weiping Shi Department of Electrical Engineering Texas A&M University Charles J. Alpert IBM Austin

More information

Differential approximation results for the Steiner tree problem

Differential approximation results for the Steiner tree problem Differential approximation results for the Steiner tree problem Marc Demange, Jérôme Monnot, Vangelis Paschos To cite this version: Marc Demange, Jérôme Monnot, Vangelis Paschos. Differential approximation

More information

FAR-DS: Full-plane AWE Routing with Driver Sizing

FAR-DS: Full-plane AWE Routing with Driver Sizing FAR-DS: Full-plane AWE Routing with Driver Sizing Jiang Hu and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota, Minneapolis, MN 55455, USA jhu, sachin@mountains.ee.umn.edu

More information

D1 Discrete Mathematics The Travelling Salesperson problem. The Nearest Neighbour Algorithm The Lower Bound Algorithm The Tour Improvement Algorithm

D1 Discrete Mathematics The Travelling Salesperson problem. The Nearest Neighbour Algorithm The Lower Bound Algorithm The Tour Improvement Algorithm 1 iscrete Mathematics The Travelling Salesperson problem The Nearest Neighbour lgorithm The Lower ound lgorithm The Tour Improvement lgorithm The Travelling Salesperson: Typically a travelling salesperson

More information

Perfect-Balance Planar Clock. Routing with Minimal Path Length UCSC-CRL March 26, University of California, Santa Cruz

Perfect-Balance Planar Clock. Routing with Minimal Path Length UCSC-CRL March 26, University of California, Santa Cruz Perfect-Balance Planar Clock Routing with Minimal Path Length Qing Zhu Wayne W.M. Dai UCSC-CRL-93-17 supercedes UCSC-CRL-92-12 March 26, 1993 Board of Studies in Computer Engineering University of California,

More information

A Framework for Layout-Level Logic Restructuring. Hosung Leo Kim John Lillis

A Framework for Layout-Level Logic Restructuring. Hosung Leo Kim John Lillis A Framework for Layout-Level Logic Restructuring Hosung Leo Kim John Lillis Motivation: Logical-to-Physical Disconnect Logic-level Optimization disconnect Physical-level Optimization fixed netlist Limited

More information

Chapter 11. Approximation Algorithms. Slides by Kevin Wayne Pearson-Addison Wesley. All rights reserved.

Chapter 11. Approximation Algorithms. Slides by Kevin Wayne Pearson-Addison Wesley. All rights reserved. Chapter 11 Approximation Algorithms Slides by Kevin Wayne. Copyright @ 2005 Pearson-Addison Wesley. All rights reserved. 1 Approximation Algorithms Q. Suppose I need to solve an NP-hard problem. What should

More information

Facility location and the geometric minimum-diameter spanning tree

Facility location and the geometric minimum-diameter spanning tree Chapter 6 Facility location and the geometric minimum-diameter spanning tree Previously published as: Joachim Gudmundsson, Herman J. Haverkort, Sang- Min Park, Chan-Su Shin and Alexander Wolff: Facility

More information

Cable Trench Problem

Cable Trench Problem Cable Trench Problem Matthew V Galati Ted K Ralphs Joseph C Hartman magh@lehigh.edu Department of Industrial and Systems Engineering Lehigh University, Bethlehem, PA Cable Trench Problem p.1 Cable Trench

More information

arxiv: v3 [math.co] 19 Sep 2018

arxiv: v3 [math.co] 19 Sep 2018 Decycling Number of Linear Graphs of Trees arxiv:170101953v3 [mathco] 19 Sep 2018 Jian Wang a, Xirong Xu b, a Department of Mathematics Taiyuan University of Technology, Taiyuan, 030024, PRChina b School

More information

CS/COE

CS/COE CS/COE 1501 www.cs.pitt.edu/~nlf4/cs1501/ P vs NP But first, something completely different... Some computational problems are unsolvable No algorithm can be written that will always produce the correct

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 9, SEPTEMBER /$26.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 9, SEPTEMBER /$26. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 9, SEPTEMBER 2009 1373 Performance and Thermal-Aware Steiner Routing for 3-D Stacked ICs Mohit Pathak, Student

More information

Unit 1A: Computational Complexity

Unit 1A: Computational Complexity Unit 1A: Computational Complexity Course contents: Computational complexity NP-completeness Algorithmic Paradigms Readings Chapters 3, 4, and 5 Unit 1A 1 O: Upper Bounding Function Def: f(n)= O(g(n)) if

More information

Two-pole Analysis of Interconnection Trees *

Two-pole Analysis of Interconnection Trees * wo-pole Analysis of Interconnection rees * Andrew B. Kahng and Sudhakar Muddu UCLA Computer Science Department, Los Angeles, CA 90024-1596 USA Abstract We address the two-pole simulation of interconnect

More information

MAKING A BINARY HEAP

MAKING A BINARY HEAP CSE 101 Algorithm Design and Analysis Miles Jones mej016@eng.uc sd.edu Office 4208 CSE Building Lecture 19: Divide and Conquer Design examples/dynamic Programming MAKING A BINARY HEAP Base case. Break

More information

On the Role of Partition Inequalities in Classical Algorithms for Steiner Problems in Graphs

On the Role of Partition Inequalities in Classical Algorithms for Steiner Problems in Graphs On the Role of Partition Inequalities in Classical Algorithms for Steiner Problems in Graphs by Kunlun Tan A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Yuchun Ma* Zhuoyuan Li* Jason Cong Xianlong Hong Glenn Reinman Sheqin Dong* Qiang Zhou *Department of Computer Science &

More information

July 18, Approximation Algorithms (Travelling Salesman Problem)

July 18, Approximation Algorithms (Travelling Salesman Problem) Approximation Algorithms (Travelling Salesman Problem) July 18, 2014 The travelling-salesman problem Problem: given complete, undirected graph G = (V, E) with non-negative integer cost c(u, v) for each

More information

VIII. NP-completeness

VIII. NP-completeness VIII. NP-completeness 1 / 15 NP-Completeness Overview 1. Introduction 2. P and NP 3. NP-complete (NPC): formal definition 4. How to prove a problem is NPC 5. How to solve a NPC problem: approximate algorithms

More information

CSE 101. Algorithm Design and Analysis Miles Jones Office 4208 CSE Building Lecture 20: Dynamic Programming

CSE 101. Algorithm Design and Analysis Miles Jones Office 4208 CSE Building Lecture 20: Dynamic Programming CSE 101 Algorithm Design and Analysis Miles Jones mej016@eng.ucsd.edu Office 4208 CSE Building Lecture 20: Dynamic Programming DYNAMIC PROGRAMMING Dynamic programming is an algorithmic paradigm in which

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

On the approximability of some degree-constrained subgraph problems

On the approximability of some degree-constrained subgraph problems On the approximability of some degree-constrained subgraph problems Omid Amini a a CNRS, Département de mathématiques et applications (DMA), École Normale Supérieure, Paris, France. E-mail: omid.amini@ens.fr.

More information

Buffer Insertion for Noise and Delay Optimization

Buffer Insertion for Noise and Delay Optimization Buffer Insertion for Noise and Delay Optimization Charles J Alpert IBM Austin esearch Laoratory Austin, TX 78660 alpert@austinimcom Anirudh Devgan IBM Austin esearch Laoratory Austin, TX 78660 devgan@austinimcom

More information

The complexity of VLSI power-delay optimization by interconnect resizing

The complexity of VLSI power-delay optimization by interconnect resizing J Comb Optim (2012) 23:292 300 DOI 10.1007/s10878-010-9355-1 The complexity of VLSI power-delay optimization by interconnect resizing Konstantin Moiseev Avinoam Kolodny Shmuel Wimer Published online: 21

More information

Nearest Neighbor Search with Keywords

Nearest Neighbor Search with Keywords Nearest Neighbor Search with Keywords Yufei Tao KAIST June 3, 2013 In recent years, many search engines have started to support queries that combine keyword search with geography-related predicates (e.g.,

More information

Review Exercise 2. 1 a Chemical A 5x+ Chemical B 2x+ 2y12 [ x+ Chemical C [ 4 12]

Review Exercise 2. 1 a Chemical A 5x+ Chemical B 2x+ 2y12 [ x+ Chemical C [ 4 12] Review Exercise a Chemical A 5x+ y 0 Chemical B x+ y [ x+ y 6] b Chemical C 6 [ ] x+ y x+ y x, y 0 c T = x+ y d ( x, y) = (, ) T = Pearson Education Ltd 08. Copying permitted for purchasing institution

More information

Approximating Graph Spanners

Approximating Graph Spanners Approximating Graph Spanners Michael Dinitz Johns Hopkins University Joint work with combinations of Robert Krauthgamer (Weizmann), Eden Chlamtáč (Ben Gurion), Ran Raz (Weizmann), Guy Kortsarz (Rutgers-Camden)

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

1. Prove: A full m- ary tree with i internal vertices contains n = mi + 1 vertices.

1. Prove: A full m- ary tree with i internal vertices contains n = mi + 1 vertices. 1. Prove: A full m- ary tree with i internal vertices contains n = mi + 1 vertices. Proof: Every vertex, except the root, is the child of an internal vertex. Since there are i internal vertices, each of

More information

Minimum-Energy Broadcast Routing

Minimum-Energy Broadcast Routing Minimum-Energy Broadcast Routing in Static Ad Hoc Wireless Networks P.-J. Wan G. Călinescu X.-Y. Li O. Frieder Abstract Energy conservation is a critical issue in ad hoc wireless networks for node and

More information

Fast Buffer Insertion Considering Process Variation

Fast Buffer Insertion Considering Process Variation Fast Buffer Insertion Considering Process Variation Jinjun Xiong, Lei He EE Department University of California, Los Angeles Sponsors: NSF, UC MICRO, Actel, Mindspeed Agenda Introduction and motivation

More information

Preliminaries. Graphs. E : set of edges (arcs) (Undirected) Graph : (i, j) = (j, i) (edges) V = {1, 2, 3, 4, 5}, E = {(1, 3), (3, 2), (2, 4)}

Preliminaries. Graphs. E : set of edges (arcs) (Undirected) Graph : (i, j) = (j, i) (edges) V = {1, 2, 3, 4, 5}, E = {(1, 3), (3, 2), (2, 4)} Preliminaries Graphs G = (V, E), V : set of vertices E : set of edges (arcs) (Undirected) Graph : (i, j) = (j, i) (edges) 1 2 3 5 4 V = {1, 2, 3, 4, 5}, E = {(1, 3), (3, 2), (2, 4)} 1 Directed Graph (Digraph)

More information

Algorithm Design Strategies V

Algorithm Design Strategies V Algorithm Design Strategies V Joaquim Madeira Version 0.0 October 2016 U. Aveiro, October 2016 1 Overview The 0-1 Knapsack Problem Revisited The Fractional Knapsack Problem Greedy Algorithms Example Coin

More information

NP-complete Problems

NP-complete Problems NP-complete Problems HP, TSP, 3COL, 0/1IP Dimitris Diamantis µπλ November 6, 2014 Dimitris Diamantis (µπλ ) NP-complete Problems November 6, 2014 1 / 34 HAMILTON PATH is NP-Complete Definition Given an

More information

GUOLI DING AND STAN DZIOBIAK. 1. Introduction

GUOLI DING AND STAN DZIOBIAK. 1. Introduction -CONNECTED GRAPHS OF PATH-WIDTH AT MOST THREE GUOLI DING AND STAN DZIOBIAK Abstract. It is known that the list of excluded minors for the minor-closed class of graphs of path-width numbers in the millions.

More information

Minimal Spanning Tree From a Minimum Dominating Set

Minimal Spanning Tree From a Minimum Dominating Set Minimal Spanning Tree From a Minimum Dominating Set M. YAMUNA VIT University School of advanced sciences Vellore, Tamilnadu INDIA myamuna@vit.ac.in K. KARTHIKA VIT University School of advanced sciences

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 4 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI Contents Delay estimation Simple RC model Penfield-Rubenstein Model Logical effort Delay

More information

MAKING A BINARY HEAP

MAKING A BINARY HEAP CSE 101 Algorithm Design and Analysis Miles Jones mej016@eng.uc sd.edu Office 4208 CSE Building Lecture 19: Divide and Conquer Design examples/dynamic Programming MAKING A BINARY HEAP Base case. Break

More information

Context-Free Languages

Context-Free Languages CS:4330 Theory of Computation Spring 2018 Context-Free Languages Non-Context-Free Languages Haniel Barbosa Readings for this lecture Chapter 2 of [Sipser 1996], 3rd edition. Section 2.3. Proving context-freeness

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC. Digital Integrated Circuits The Wire * Fuyuzhuo *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk Introduction The Wire transmitters receivers schematics physical 2 Interconnect Impact

More information

Query Processing in Spatial Network Databases

Query Processing in Spatial Network Databases Temporal and Spatial Data Management Fall 0 Query Processing in Spatial Network Databases SL06 Spatial network databases Shortest Path Incremental Euclidean Restriction Incremental Network Expansion Spatial

More information

15.1 Matching, Components, and Edge cover (Collaborate with Xin Yu)

15.1 Matching, Components, and Edge cover (Collaborate with Xin Yu) 15.1 Matching, Components, and Edge cover (Collaborate with Xin Yu) First show l = c by proving l c and c l. For a maximum matching M in G, let V be the set of vertices covered by M. Since any vertex in

More information

Minimizing Clock Latency Range in Robust Clock Tree Synthesis

Minimizing Clock Latency Range in Robust Clock Tree Synthesis Minimizing Clock Latency Range in Robust Clock Tree Synthesis Wen-Hao Liu Yih-Lang Li Hui-Chi Chen You have to enlarge your font. Many pages are hard to view. I think the position of Page topic is too

More information

Tree Decompositions and Tree-Width

Tree Decompositions and Tree-Width Tree Decompositions and Tree-Width CS 511 Iowa State University December 6, 2010 CS 511 (Iowa State University) Tree Decompositions and Tree-Width December 6, 2010 1 / 15 Tree Decompositions Definition

More information

On shredders and vertex connectivity augmentation

On shredders and vertex connectivity augmentation On shredders and vertex connectivity augmentation Gilad Liberman The Open University of Israel giladliberman@gmail.com Zeev Nutov The Open University of Israel nutov@openu.ac.il Abstract We consider the

More information

Collective Tree Spanners of Unit Disk Graphs with Applications to Compact and Low Delay Routing Labeling Schemes. F.F. Dragan

Collective Tree Spanners of Unit Disk Graphs with Applications to Compact and Low Delay Routing Labeling Schemes. F.F. Dragan Collective Tree Spanners of Unit Disk Graphs with Applications to Compact and Low Delay Routing Labeling Schemes F.F. Dragan (joint work with Yang Xiang and Chenyu Yan) Kent State University, USA Well-known

More information

Power-Driven Global Routing for Multi-Supply Voltage Domains

Power-Driven Global Routing for Multi-Supply Voltage Domains Power-Driven Global Routing for Multi-Supply Voltage Domains Tai-Hsuan Wu, Azadeh Davoodi, and Jeffrey T. Linderoth Department of Electrical and Computer Engineering Department of Industrial and Systems

More information

Check off these skills when you feel that you have mastered them. Write in your own words the definition of a Hamiltonian circuit.

Check off these skills when you feel that you have mastered them. Write in your own words the definition of a Hamiltonian circuit. Chapter Objectives Check off these skills when you feel that you have mastered them. Write in your own words the definition of a Hamiltonian circuit. Explain the difference between an Euler circuit and

More information

UNIVERSITY OF YORK. MSc Examinations 2004 MATHEMATICS Networks. Time Allowed: 3 hours.

UNIVERSITY OF YORK. MSc Examinations 2004 MATHEMATICS Networks. Time Allowed: 3 hours. UNIVERSITY OF YORK MSc Examinations 2004 MATHEMATICS Networks Time Allowed: 3 hours. Answer 4 questions. Standard calculators will be provided but should be unnecessary. 1 Turn over 2 continued on next

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing *

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing * Noel Menezes, Ross Baldick, and Lawrence T. Pileggi Department of Electrical and Computer Engineering The University of

More information

Contents Lecture 4. Greedy graph algorithms Dijkstra s algorithm Prim s algorithm Kruskal s algorithm Union-find data structure with path compression

Contents Lecture 4. Greedy graph algorithms Dijkstra s algorithm Prim s algorithm Kruskal s algorithm Union-find data structure with path compression Contents Lecture 4 Greedy graph algorithms Dijkstra s algorithm Prim s algorithm Kruskal s algorithm Union-find data structure with path compression Jonas Skeppstedt (jonasskeppstedt.net) Lecture 4 2018

More information

1 Basic Definitions. 2 Proof By Contradiction. 3 Exchange Argument

1 Basic Definitions. 2 Proof By Contradiction. 3 Exchange Argument 1 Basic Definitions A Problem is a relation from input to acceptable output. For example, INPUT: A list of integers x 1,..., x n OUTPUT: One of the three smallest numbers in the list An algorithm A solves

More information

Minmax Tree Cover in the Euclidean Space

Minmax Tree Cover in the Euclidean Space Journal of Graph Algorithms and Applications http://jgaa.info/ vol. 15, no. 3, pp. 345 371 (2011) Minmax Tree Cover in the Euclidean Space Seigo Karakawa 1 Ehab Morsy 1 Hiroshi Nagamochi 1 1 Department

More information

Search and Lookahead. Bernhard Nebel, Julien Hué, and Stefan Wölfl. June 4/6, 2012

Search and Lookahead. Bernhard Nebel, Julien Hué, and Stefan Wölfl. June 4/6, 2012 Search and Lookahead Bernhard Nebel, Julien Hué, and Stefan Wölfl Albert-Ludwigs-Universität Freiburg June 4/6, 2012 Search and Lookahead Enforcing consistency is one way of solving constraint networks:

More information

60 th Birthday of Andreas. 16th Birthday of Dually Chordal Graphs

60 th Birthday of Andreas. 16th Birthday of Dually Chordal Graphs 60 th Birthday of Andreas 16th Birthday of Dually Chordal Graphs 60 th Birthday of Andreas 16th Birthday of Dually Chordal Graphs Sixteen Sixty: a small event accompanying a big event 60 th Birthday of

More information

An Improved Approximation Algorithm for Requirement Cut

An Improved Approximation Algorithm for Requirement Cut An Improved Approximation Algorithm for Requirement Cut Anupam Gupta Viswanath Nagarajan R. Ravi Abstract This note presents improved approximation guarantees for the requirement cut problem: given an

More information

Bounds on the Traveling Salesman Problem

Bounds on the Traveling Salesman Problem Bounds on the Traveling Salesman Problem Sean Zachary Roberson Texas A&M University MATH 613, Graph Theory A common routing problem is as follows: given a collection of stops (for example, towns, stations,

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

arxiv: v1 [cs.cg] 29 Jun 2012

arxiv: v1 [cs.cg] 29 Jun 2012 Single-Source Dilation-Bounded Minimum Spanning Trees Otfried Cheong Changryeol Lee May 2, 2014 arxiv:1206.6943v1 [cs.cg] 29 Jun 2012 Abstract Given a set S of points in the plane, a geometric network

More information

Trees. A tree is a graph which is. (a) Connected and. (b) has no cycles (acyclic).

Trees. A tree is a graph which is. (a) Connected and. (b) has no cycles (acyclic). Trees A tree is a graph which is (a) Connected and (b) has no cycles (acyclic). 1 Lemma 1 Let the components of G be C 1, C 2,..., C r, Suppose e = (u, v) / E, u C i, v C j. (a) i = j ω(g + e) = ω(g).

More information

An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem

An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem An Optimal Algorithm of Adjustable Delay Buffer Insertion for Solving Clock Skew Variation Problem Juyeon Kim 1 juyeon@ssl.snu.ac.kr Deokjin Joo 1 jdj@ssl.snu.ac.kr Taewhan Kim 1,2 tkim@ssl.snu.ac.kr 1

More information

Interconnect s Role in Deep Submicron. Second class to first class

Interconnect s Role in Deep Submicron. Second class to first class Interconnect s Role in Deep Submicron Dennis Sylvester EE 219 November 3, 1998 Second class to first class Interconnect effects are no longer secondary # of wires # of devices More metal levels RC delay

More information

SOLUTIONS FOR ADMISSIONS TEST IN MATHEMATICS, COMPUTER SCIENCE AND JOINT SCHOOLS WEDNESDAY 31 OCTOBER 2018

SOLUTIONS FOR ADMISSIONS TEST IN MATHEMATICS, COMPUTER SCIENCE AND JOINT SCHOOLS WEDNESDAY 31 OCTOBER 2018 SOLUTIONS FOR ADMISSIONS TEST IN MATHEMATICS, COMPUTER SCIENCE AND JOINT SCHOOLS WEDNESDAY OCTOBER 8 Mark Scheme: Each part of Question is worth marks which are awarded solely for the correct answer. Each

More information

Design and Analysis of Algorithms

Design and Analysis of Algorithms Design and Analysis of Algorithms CSE 5311 Lecture 21 Single-Source Shortest Paths Junzhou Huang, Ph.D. Department of Computer Science and Engineering CSE5311 Design and Analysis of Algorithms 1 Single-Source

More information

Traveling Salesman. Given G = (V,V V), find simple cycle C = (v 1,v 2,...,v n,v 1 ) such that n = V and (u,v) C d(u,v) is minimized.

Traveling Salesman. Given G = (V,V V), find simple cycle C = (v 1,v 2,...,v n,v 1 ) such that n = V and (u,v) C d(u,v) is minimized. Sanders/van Stee: Approximations- und Online-Algorithmen 1 Traveling Salesman Given G = (V,V V), find simple cycle C = (v 1,v 2,...,v n,v 1 ) such that n = V and (u,v) C d(u,v) is minimized. Sanders/van

More information

CS 4407 Algorithms Lecture: Shortest Path Algorithms

CS 4407 Algorithms Lecture: Shortest Path Algorithms CS 440 Algorithms Lecture: Shortest Path Algorithms Prof. Gregory Provan Department of Computer Science University College Cork 1 Outline Shortest Path Problem General Lemmas and Theorems. Algorithms Bellman-Ford

More information

GRAPH ALGORITHMS Week 3 (16-21 October 2017)

GRAPH ALGORITHMS Week 3 (16-21 October 2017) GRAPH ALGORITHMS Week 3 (16-21 October 2017) C. Croitoru croitoru@info.uaic.ro FII October 15, 2017 1 / 63 OUTLINE Graph Theory Vocabulary 1 Definition of a Graph 2 Variations in the Definition of a Graph

More information

Specral Graph Theory and its Applications September 7, Lecture 2 L G1,2 = 1 1.

Specral Graph Theory and its Applications September 7, Lecture 2 L G1,2 = 1 1. Specral Graph Theory and its Applications September 7, 2004 Lecturer: Daniel A. Spielman Lecture 2 2.1 The Laplacian, again We ll begin by redefining the Laplacian. First, let G 1,2 be the graph on two

More information

Approximating Border Length for DNA Microarray Synthesis

Approximating Border Length for DNA Microarray Synthesis pproximating Border Length for DN Microarray Synthesis indy Y. Li 1 Prudence W.H. Wong 1 Qin Xin Fencol.. Yung 3 1 Department of omputer Science, University of Liverpool, UK. {cindyli,pwong}@liverpool.ac.uk

More information

Chapter 11. Approximation Algorithms. Slides by Kevin Wayne Pearson-Addison Wesley. All rights reserved.

Chapter 11. Approximation Algorithms. Slides by Kevin Wayne Pearson-Addison Wesley. All rights reserved. Chapter 11 Approximation Algorithms Slides by Kevin Wayne. Copyright @ 2005 Pearson-Addison Wesley. All rights reserved. 1 P and NP P: The family of problems that can be solved quickly in polynomial time.

More information

Proof of Theorem 1. Tao Lei CSAIL,MIT. Here we give the proofs of Theorem 1 and other necessary lemmas or corollaries.

Proof of Theorem 1. Tao Lei CSAIL,MIT. Here we give the proofs of Theorem 1 and other necessary lemmas or corollaries. Proof of Theorem 1 Tao Lei CSAIL,MIT Here we give the proofs of Theorem 1 and other necessary lemmas or corollaries. Lemma 1 (Reachability) Any two trees y, y are reachable to each other. Specifically,

More information

k-distinct In- and Out-Branchings in Digraphs

k-distinct In- and Out-Branchings in Digraphs k-distinct In- and Out-Branchings in Digraphs Gregory Gutin 1, Felix Reidl 2, and Magnus Wahlström 1 arxiv:1612.03607v2 [cs.ds] 21 Apr 2017 1 Royal Holloway, University of London, UK 2 North Carolina State

More information

Smart Non-Default Routing for Clock Power Reduction

Smart Non-Default Routing for Clock Power Reduction Smart Non-Default Routing for Clock Power Reduction Andrew B. Kahng, Seokhyeong Kang and Hyein Lee ECE and CSE Departments, University of California at San Diego abk@ucsd.edu, shkang@vlsicad.ucsd.edu,

More information

Complexity 1: Motivation. Outline. Dusko Pavlovic. Introductions. Algorithms. Introductions. Complexity 1: Motivation. Contact.

Complexity 1: Motivation. Outline. Dusko Pavlovic. Introductions. Algorithms. Introductions. Complexity 1: Motivation. Contact. Outline Complexity Theory Part 1: Defining complexity Complexity of algorithms RHUL Spring 2012 Outline Contact Defining complexity email: dusko.pavlovic@rhul.ac.uk phone: (01784 44 30 81) Complexity of

More information

SI545 VLSI CAD: Logic to Layout. Algorithms

SI545 VLSI CAD: Logic to Layout. Algorithms SI545 VLSI CAD: Logic to Layout Algorithms Announcements TA for this course LeileiWang, wangll@shanghaitech.edu.cn Office hour: 1:30-:30pm, Thurs., 301@H. Course page on Piazza References and Copyright

More information

CS781 Lecture 3 January 27, 2011

CS781 Lecture 3 January 27, 2011 CS781 Lecture 3 January 7, 011 Greedy Algorithms Topics: Interval Scheduling and Partitioning Dijkstra s Shortest Path Algorithm Minimum Spanning Trees Single-Link k-clustering Interval Scheduling Interval

More information

iretilp : An efficient incremental algorithm for min-period retiming under general delay model

iretilp : An efficient incremental algorithm for min-period retiming under general delay model iretilp : An efficient incremental algorithm for min-period retiming under general delay model Debasish Das, Jia Wang and Hai Zhou EECS, Northwestern University, Evanston, IL 60201 Place and Route Group,

More information

Maintaining Connectivity in Sensor Networks Using Directional Antennae

Maintaining Connectivity in Sensor Networks Using Directional Antennae Maintaining Connectivity in Sensor Networks Using Directional Antennae Evangelos Kranakis and Danny Krizanc and Oscar Morales Abstract Connectivity in wireless sensor networks may be established using

More information

Floorplanning. ECE6133 Physical Design Automation of VLSI Systems

Floorplanning. ECE6133 Physical Design Automation of VLSI Systems Floorplanning ECE6133 Physical Design Automation of VLSI Systems Prof. Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Floorplanning, Placement, and Pin Assignment

More information

This observation leads to the following algorithm.

This observation leads to the following algorithm. 126 Andreas Jakoby A Bounding-Function for the Knapsack Problem Finding a useful bounding-function is for most problems a difficult task. Let us consider the Knapsack Problem: Let us consider the version

More information

The P k partition problem and related problems in bipartite graphs

The P k partition problem and related problems in bipartite graphs The P k partition problem and related problems in bipartite graphs Jérôme Monnot and Sophie Toulouse No Institute Given Abstract. In this paper, we continue the investigation proposed in [5] about the

More information

1. (a) Explain the asymptotic notations used in algorithm analysis. (b) Prove that f(n)=0(h(n)) where f(n)=0(g(n)) and g(n)=0(h(n)).

1. (a) Explain the asymptotic notations used in algorithm analysis. (b) Prove that f(n)=0(h(n)) where f(n)=0(g(n)) and g(n)=0(h(n)). Code No: R05220502 Set No. 1 1. (a) Explain the asymptotic notations used in algorithm analysis. (b) Prove that f(n)=0(h(n)) where f(n)=0(g(n)) and g(n)=0(h(n)). 2. (a) List some of the relative advantages

More information

Ecient Algorithms for the Minimum Shortest Path Steiner. Arborescence Problem with Applications to VLSI Physical Design

Ecient Algorithms for the Minimum Shortest Path Steiner. Arborescence Problem with Applications to VLSI Physical Design Ecient Algorithms for the Minimum Shortest Path Steiner Arborescence Problem with Applications to VLSI Physical Design Jason Cong z, Andrew B. Kahng yz,andkwok-shing Leung yz y Cadence Design Systems,

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Dictionary: an abstract data type

Dictionary: an abstract data type 2-3 Trees 1 Dictionary: an abstract data type A container that maps keys to values Dictionary operations Insert Search Delete Several possible implementations Balanced search trees Hash tables 2 2-3 trees

More information

Part III: Traveling salesman problems

Part III: Traveling salesman problems Transportation Logistics Part III: Traveling salesman problems c R.F. Hartl, S.N. Parragh 1/282 Motivation Motivation Why do we study the TSP? c R.F. Hartl, S.N. Parragh 2/282 Motivation Motivation Why

More information

Minimum Distance Violation Tree Problems

Minimum Distance Violation Tree Problems Minimum Distance Violation Tree Problems Hui Chen Department of Management Sciences University of Iowa S221 John Pappajohn Business Building Iowa City, Iowa 52242-1000 hui-chen@uiowa.edu Ph.D Dissertation

More information

9/30/11. Evolution theory. Phylogenetic Tree Reconstruction. Phylogenetic trees (binary trees) Phylogeny (phylogenetic tree)

9/30/11. Evolution theory. Phylogenetic Tree Reconstruction. Phylogenetic trees (binary trees) Phylogeny (phylogenetic tree) I9 Introduction to Bioinformatics, 0 Phylogenetic ree Reconstruction Yuzhen Ye (yye@indiana.edu) School of Informatics & omputing, IUB Evolution theory Speciation Evolution of new organisms is driven by

More information

Maximum Metric Spanning Tree made Byzantine Tolerant

Maximum Metric Spanning Tree made Byzantine Tolerant Algorithmica manuscript No. (will be inserted by the editor) Maximum Metric Spanning Tree made Byzantine Tolerant Swan Dubois Toshimitsu Masuzawa Sébastien Tixeuil Received: date / Accepted: date Abstract

More information

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015 TU 2015 Contest Incremental Timing nalysis and Incremental Common Path Pessimism Removal CPPR Contest Education v1.9 January 19 th, 2015 https://sites.google.com/site/taucontest2015 Contents 1 Introduction

More information

Interconnect Power and Delay Optimization by Dynamic Programming in Gridded Design Rules

Interconnect Power and Delay Optimization by Dynamic Programming in Gridded Design Rules Interconnect Power and Delay Optimization by Dynamic Programming in Gridded Design Rules Konstantin Moiseev, Avinoam Kolodny EE Dept. Technion, Israel Institute of Technology Shmuel Wimer Eng. School,

More information

The Wire EE141. Microelettronica

The Wire EE141. Microelettronica The Wire 1 Interconnect Impact on Chip 2 Example: a Bus Network transmitters receivers schematics physical 3 Wire Models All-inclusive model Capacitance-only 4 Impact of Interconnect Parasitics Interconnect

More information