AIT-1: LER and Chemically Amplified Resists. AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

Size: px
Start display at page:

Download "AIT-1: LER and Chemically Amplified Resists. AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint"

Transcription

1 Advanced Issues and Technology (AIT) Modules Purpose: xplain the top advanced issues and concepts in optical projection printing and electron-beam lithography. h AIT-1: R and Chemically Amplified Resists AIT-: Resolution nhancement and PSM * AIT-3: Small Features and Defects AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, UV, Imprint ach module is a 0-5 min presentation of about a dozen slides. Suggested reading: Griffin: Plummer, Deal and Chapter 5 Sheats and Smith: 14-3, 3, Wong: 34-37, 71-90, Fig 4.1, Fig. 4.10,

2 Perturbation Model of Image Contributions ( 1+ x ) = 1+ x + x ( ) = Consider a composite electric field made up of a large electric field and a small electric field that are time- harmonic, possibly out of phase and θ S θ oriented in a co-linear direction. S C = + S = e jθ + The intensity it is proportional to the electric field times its conjugate I = C C = + S e jθ S C Phasor Diagram in complex plane [ ( )] j θ S Re e S θ + S [ ( ) ] j θ = S θ I I + I I S Re e + I S Small Very Small

3 Basic Types of Small Features Square ine Transparent I I PAK-TS x I x I PAK-T Pin Hole Scratch Opaque - Pin Spot I I DIP-OS x Chrome Stringer I x I DI P-O ARN

4 Intensity Models for Small Features S = Square, = ine T = Transparent, O = Opaque I PAK-TS = 8.5 [d/(λ/na)] 4 I PAK-T = sqrt(i PAK-TS ) I DIP-OS = 1 sqrt(i PAK-TS ) I DIP-O = 1- sqrt[sqrt(i PAK-TS )] Small mask openings produce a point itspread shaped ddistribution ib ti with an intensity proportional to the square of the area.

5 Peak and Minimum i Intensity for Small Features ARN SST 000

6 Phase-Defects 1ee j0 Me jφ Stops Reradiates Severity Factor for interaction is [1-Mcos(φ)] Worst case is a factor of f when φ = 180 o

7 Phase Defects May Print Worse Out of Focus Severity Factor [1-Mcos(φ)] becomes [] when phase of defocus is included. Wantanabe, et al. M = field transmission amplitude

8 Defect Feature Interaction A 0.5 λ/na square defect produces only a 3% clear field intensity but a 17% intensity increase which in turn causes a 1% linewidth change. The linewidth change is independent of the pin-hole shape and proportional to the area of the pin-hole. The size of the region affected is the diameter of the point spread function. ΔI ΔI Δ = = Image _ Slope I X Neureuther, Shen JVST

9 Defect and Feature Interaction

10 Defect and Feature Interaction Defects near features are much more likely to print and cause a linewidth variation proportional to the defect area.

11 Defects: Model - Small Features A phase defect has an impact ~ (1- Mcos φ) compared to a binary defect due to the combination of diffraction and subtraction of the out of phase signal. (Here M is the electric field transmission of the defect) A phase defect may have an increased tendency to print when out of focus due to the relative path length change of large angle rays with defocus combining with the phase of the defect to total 180 o. Isolated defects should be less than about 0.35 λ/na or half the minimum feature size. Defects adjacent to an isolated feature of nearly similar phase should be less than 0.5 λ/na or one third the feature size. Defects adjacent to and isolated feature of nearly opposite phase should be less than 0.18 λ/na or one fifth the features size. In arrays or contacts t where marginal image quality occurs, defects may need to be an additional factor of 1.4 smaller.

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

* AIT-4: Aberrations. Copyright 2006, Regents of University of California Advanced Issues and Technology (AIT) Modules Purpose: Explain the top advanced issues and concepts in optical projection printing and electron-beam lithography. AIT-: LER and Chemically Amplified Resists

More information

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with. Qualitative Explanation of image degradation by lens Mask + 2 lens wafer plane +1 φ 0 parallel optical beam -2-1 grating with θ spatial frequency 1/P Psin φ = n λ n = 0, ± 1, ± 2,... L S P l m P=2L sin

More information

Purpose: Explain the top 10 phenomena and concepts key to

Purpose: Explain the top 10 phenomena and concepts key to Basic rojection rinting (B) Modules urpose: Explain the top 10 phenomena and concepts key to understanding optical projection printing B-1: Resolution and Depth of Focus (1.5X) B-2: Bragg condition and

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Photomask and X-Ray Mask Technology VII SPIE Vol. 466, pp. 172-179. It is made available

More information

Chromeless Phase Lithography (CPL)

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT Photolithography Evolution 1 : Evolution 2 Photomasks Substrates: Type : thermal expansion Chrome Pellicles Mask: OPC and PSM Fabrication: E-Beam or Laser 3 Photomask Information Websites: http://www.photronics.com/internet/corpcomm/publications/basics101/basics.

More information

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Metrology, 61 Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems Manish Chandhok,, Sang H. Lee, Christof Krautschik, Guojing Zhang, Bryan

More information

Unconventional Nano-patterning. Peilin Chen

Unconventional Nano-patterning. Peilin Chen Unconventional Nano-patterning Peilin Chen Reference Outlines History of patterning Traditional Nano-patterning Unconventional Nano-patterning Ancient Patterning "This is the Elks' land". A greeting at

More information

Design and Correction of optical Systems

Design and Correction of optical Systems Design and Correction of optical Systems Part 10: Performance criteria 1 Summer term 01 Herbert Gross Overview 1. Basics 01-04-18. Materials 01-04-5 3. Components 01-05-0 4. Paraxial optics 01-05-09 5.

More information

Lecture 9: Introduction to Diffraction of Light

Lecture 9: Introduction to Diffraction of Light Lecture 9: Introduction to Diffraction of Light Lecture aims to explain: 1. Diffraction of waves in everyday life and applications 2. Interference of two one dimensional electromagnetic waves 3. Typical

More information

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation Masaya Kato a, Hideki Inuzuka a, Takeshi Kosuge a, Shingo Yoshikawa a, Koichi Kanno a, Hidemichi

More information

2.71. Final examination. 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS PLEASE RETURN THIS BOOKLET

2.71. Final examination. 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS PLEASE RETURN THIS BOOKLET 2.71 Final examination 3 hours (9am 12 noon) Total pages: 7 (seven) PLEASE DO NOT TURN OVER UNTIL EXAM STARTS Name: PLEASE RETURN THIS BOOKLET WITH YOUR SOLUTION SHEET(S) MASSACHUSETTS INSTITUTE OF TECHNOLOGY

More information

Lecture 14 Advanced Photolithography

Lecture 14 Advanced Photolithography Lecture 14 Advanced Photolithography Chapter 14 Wolf and Tauber 1/74 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes

More information

Lecture 11: Introduction to diffraction of light

Lecture 11: Introduction to diffraction of light Lecture 11: Introduction to diffraction of light Diffraction of waves in everyday life and applications Diffraction in everyday life Diffraction in applications Spectroscopy: physics, chemistry, medicine,

More information

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X Scattering Ronald L. Jones, Wen-li Wu, Eric K. Lin NIST Polymers Division, Gaithersburg,

More information

Chapter 35. Interference

Chapter 35. Interference Chapter 35 Interference The concept of optical interference is critical to understanding many natural phenomena, ranging from color shifting in butterfly wings to intensity patterns formed by small apertures.

More information

Nano fabrication by e-beam lithographie

Nano fabrication by e-beam lithographie Introduction to nanooptics, Summer Term 2012, Abbe School of Photonics, FSU Jena, Prof. Thomas Pertsch Nano fabrication by e-beam lithographie Lecture 14 1 Electron Beam Lithography - EBL Introduction

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives Performance Enhancement of 157 nm Newtonian Catadioptric Objectives James Webb, Timothy Rich, Anthony Phillips and Jim Cornell Corning Tropel Corporation, 60 O Connor Rd, Fairport, NY 14450, 585-377-3200

More information

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off. Photoresist Profile 4-15 Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off undercut overcut Overcut: positive slope, common to positive resist,

More information

1 ESO's Compact Laser Guide Star Unit Ottobeuren, Germany Beam optics!

1 ESO's Compact Laser Guide Star Unit Ottobeuren, Germany   Beam optics! 1 ESO's Compact Laser Guide Star Unit Ottobeuren, Germany www.eso.org Introduction Characteristics Beam optics! ABCD matrices 2 Background! A paraxial wave has wavefronts whose normals are paraxial rays.!!

More information

Horizontal-Vertical (H-V) Bias, part 2

Horizontal-Vertical (H-V) Bias, part 2 Tutor52.doc: Version 11/4/05 T h e L i t h o g r a p h y E x p e r t (February 2006) Horizontal-Vertical (H-V) Bias, part 2 Chris A. Mack, Austin, Texas In the last edition of this column we looked at

More information

Laser Optics-II. ME 677: Laser Material Processing Instructor: Ramesh Singh 1

Laser Optics-II. ME 677: Laser Material Processing Instructor: Ramesh Singh 1 Laser Optics-II 1 Outline Absorption Modes Irradiance Reflectivity/Absorption Absorption coefficient will vary with the same effects as the reflectivity For opaque materials: reflectivity = 1 - absorptivity

More information

LIST OF TOPICS BASIC LASER PHYSICS. Preface xiii Units and Notation xv List of Symbols xvii

LIST OF TOPICS BASIC LASER PHYSICS. Preface xiii Units and Notation xv List of Symbols xvii ate LIST OF TOPICS Preface xiii Units and Notation xv List of Symbols xvii BASIC LASER PHYSICS Chapter 1 An Introduction to Lasers 1.1 What Is a Laser? 2 1.2 Atomic Energy Levels and Spontaneous Emission

More information

Exam 3 Solutions. Answer: 1830 Solution: Because of equal and opposite electrical forces, we have conservation of momentum, m e

Exam 3 Solutions. Answer: 1830 Solution: Because of equal and opposite electrical forces, we have conservation of momentum, m e Exam 3 Solutions Prof. Paul Avery Prof. Zongan iu Apr. 27, 2013 1. An electron and a proton, located far apart and initially at rest, accelerate toward each other in a location undisturbed by any other

More information

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT Table of Contents Foreword... Jörge DE SOUSA NORONHA Introduction... Michel BRILLOUËT xi xvii Chapter 1. Photolithography... 1 Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE 1.1. Introduction...

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Part 1 - Basic Interferometers for Optical Testing

Part 1 - Basic Interferometers for Optical Testing Part 1 - Basic Interferometers for Optical Testing Two Beam Interference Fizeau and Twyman-Green interferometers Basic techniques for testing flat and spherical surfaces Mach-Zehnder Zehnder,, Scatterplate

More information

Photoelectric Effect

Photoelectric Effect Photoelectric Effect The ejection of electrons from a surface by the action of light striking that surface is called the photoelectric effect. In this experiment, as you investigate the photoelectric effect,

More information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH High NA the Extension Path of EUV Lithography Dr. Tilmann Heil, Carl Zeiss SMT GmbH Introduction This talk is about resolution. Resolution λ = k 1 NA High-NA NA 0.33 0.4 0.5 0.6 Resolution @ k1=0.3 single

More information

Anisotropy in the CMB

Anisotropy in the CMB Anisotropy in the CMB Antony Lewis Institute of Astronomy & Kavli Institute for Cosmology, Cambridge http://cosmologist.info/ Hanson & Lewis: 0908.0963 Evolution of the universe Opaque Transparent Hu &

More information

Lasers and Electro-optics

Lasers and Electro-optics Lasers and Electro-optics Second Edition CHRISTOPHER C. DAVIS University of Maryland III ^0 CAMBRIDGE UNIVERSITY PRESS Preface to the Second Edition page xv 1 Electromagnetic waves, light, and lasers 1

More information

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available

More information

Pre-lab Quiz/PHYS 224. Your name Lab section

Pre-lab Quiz/PHYS 224. Your name Lab section Pre-lab Quiz/PHYS 224 THE DIFFRACTION GRATING AND THE OPTICAL SPECTRUM Your name Lab section 1. What are the goals of this experiment? 2. If the period of a diffraction grating is d = 1,000 nm, where the

More information

CHEM-E5225 :Electron Microscopy Imaging

CHEM-E5225 :Electron Microscopy Imaging CHEM-E5225 :Electron Microscopy Imaging 2016.10 Yanling Ge Outline Planar Defects Image strain field WBDF microscopy HRTEM information theory Discuss of question homework? Planar Defects - Internal Interface

More information

Wavefront Sensing using Polarization Shearing Interferometer. A report on the work done for my Ph.D. J.P.Lancelot

Wavefront Sensing using Polarization Shearing Interferometer. A report on the work done for my Ph.D. J.P.Lancelot Wavefront Sensing using Polarization Shearing Interferometer A report on the work done for my Ph.D J.P.Lancelot CONTENTS 1. Introduction 2. Imaging Through Atmospheric turbulence 2.1 The statistics of

More information

In Situ Imaging of Cold Atomic Gases

In Situ Imaging of Cold Atomic Gases In Situ Imaging of Cold Atomic Gases J. D. Crossno Abstract: In general, the complex atomic susceptibility, that dictates both the amplitude and phase modulation imparted by an atom on a probing monochromatic

More information

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XVI, SPIE Vol. 5040, pp. 151-161. It is made available

More information

two slits and 5 slits

two slits and 5 slits Electronic Spectroscopy 2015January19 1 1. UV-vis spectrometer 1.1. Grating spectrometer 1.2. Single slit: 1.2.1. I diffracted intensity at relative to un-diffracted beam 1.2.2. I - intensity of light

More information

LCR Series Circuits. AC Theory. Introduction to LCR Series Circuits. Module. What you'll learn in Module 9. Module 9 Introduction

LCR Series Circuits. AC Theory. Introduction to LCR Series Circuits. Module. What you'll learn in Module 9. Module 9 Introduction Module 9 AC Theory LCR Series Circuits Introduction to LCR Series Circuits What you'll learn in Module 9. Module 9 Introduction Introduction to LCR Series Circuits. Section 9.1 LCR Series Circuits. Amazing

More information

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high? STM STM With a scanning tunneling microscope, images of surfaces with atomic resolution can be readily obtained. An STM uses quantum tunneling of electrons to map the density of electrons on the surface

More information

The maximum value of the acceleration occurs when sin=1 with magnitude

The maximum value of the acceleration occurs when sin=1 with magnitude SOLUTIONS 1231 T1 Q1. SHM Vibrating Strip (a)(i) For SHM, y = Asin(ωt + φ ) for amplitude A and angular frequency ω. Set φ = 0. (ii) The velocity is given by v = dy dx = ωa cosωt The maximum speed vm occurs

More information

n The visual examination of the image of a point source is one of the most basic and important tests that can be performed.

n The visual examination of the image of a point source is one of the most basic and important tests that can be performed. 8.2.11 Star Test n The visual examination of the image of a point source is one of the most basic and important tests that can be performed. Interpretation of the image is to a large degree a matter of

More information

Optics for Engineers Chapter 11

Optics for Engineers Chapter 11 Optics for Engineers Chapter 11 Charles A. DiMarzio Northeastern University Nov. 212 Fourier Optics Terminology Field Plane Fourier Plane C Field Amplitude, E(x, y) Ẽ(f x, f y ) Amplitude Point Spread

More information

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics Richard Haight IBM TJ Watson Research Center PO Box 218 Yorktown Hts., NY 10598 Collaborators Al Wagner Pete Longo Daeyoung

More information

DISPERSION VERY SHORT ANSWER QUESTIONS. Two identical prisms made of the same material placed with their based on opposite sides (of the

DISPERSION VERY SHORT ANSWER QUESTIONS. Two identical prisms made of the same material placed with their based on opposite sides (of the DISPERSION VERY SHORT ANSWER QUESTIONS Q-1. What will be the spectrum of sun during a total solar eclipse? Q-2. Why the secondary rainbow is always fainter than the primary rainbow? Q-3. Two identical

More information

Photoelectric Effect Experiment

Photoelectric Effect Experiment Experiment 1 Purpose The photoelectric effect is a key experiment in modern physics. In this experiment light is used to excite electrons that (given sufficient energy) can escape from a material producing

More information

EUV Lithography Towards Industrialization

EUV Lithography Towards Industrialization EUV Lithography Towards Industrialization Wim van der Zande, Director of Research, ASML Dublin Meeting November 2014 Slide 2 Agenda EUV benefit and status at customers Towards higher productivity Summary

More information

A Brief Introduction to Medical Imaging. Outline

A Brief Introduction to Medical Imaging. Outline A Brief Introduction to Medical Imaging Outline General Goals Linear Imaging Systems An Example, The Pin Hole Camera Radiations and Their Interactions with Matter Coherent vs. Incoherent Imaging Length

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Simulations of E-ELT telescope effects on AO system performance Miska Le Louarn* a, Pierre-Yves Madec a, Enrico Marchetti a, Henri Bonnet a, Michael Esselborn a a ESO, Karl Schwarzschild strasse 2, 85748,

More information

Physics 142 Wave Optics 1 Page 1. Wave Optics 1. For every complex problem there is one solution that is simple, neat, and wrong. H.L.

Physics 142 Wave Optics 1 Page 1. Wave Optics 1. For every complex problem there is one solution that is simple, neat, and wrong. H.L. Physics 142 Wave Optics 1 Page 1 Wave Optics 1 For every complex problem there is one solution that is simple, neat, and wrong. H.L. Mencken Interference and diffraction of waves The essential characteristic

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Chromatic Aberrations

Chromatic Aberrations Chromatic Aberrations Lens Design OPTI 517 Second-order chromatic aberrations W H W W H W H W, cos 2 2 000 200 111 020 Change of image location with λ (axial or longitudinal chromatic aberration) Change

More information

PH 222-3A Spring 2010

PH 222-3A Spring 2010 PH -3A Spring 010 Interference Lecture 6-7 Chapter 35 (Halliday/Resnick/Walker, Fundamentals of Physics 8 th edition) 1 Chapter 35 Interference The concept of optical interference is critical to understanding

More information

Lecture 9: Indirect Imaging 2. Two-Element Interferometer. Van Cittert-Zernike Theorem. Aperture Synthesis Imaging. Outline

Lecture 9: Indirect Imaging 2. Two-Element Interferometer. Van Cittert-Zernike Theorem. Aperture Synthesis Imaging. Outline Lecture 9: Indirect Imaging 2 Outline 1 Two-Element Interferometer 2 Van Cittert-Zernike Theorem 3 Aperture Synthesis Imaging Cygnus A at 6 cm Image courtesy of NRAO/AUI Very Large Array (VLA), New Mexico,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Spin-orbit torque magnetization switching controlled by geometry C.K.Safeer, Emilie Jué, Alexandre Lopez, Liliana Buda-Prejbeanu, Stéphane Auffret, Stefania Pizzini, Olivier Boulle, Ioan Mihai Miron, Gilles

More information

Analysis of carbon contamination on EUV mask using CSM/ ICS

Analysis of carbon contamination on EUV mask using CSM/ ICS Analysis of carbon contamination on EUV mask using CSM/ ICS Jae Uk Lee 1, Chang Young Jeong 1, Sangsul Lee 1, Jong Gul Doh 1,, Dong Geun Lee 2, Seong-Sue Kim 2, Han-Ku Cho 2, Seung-yu Rah 3 and Jinho Ahn

More information

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA EUV Litho, June 15 th, 2016 Luc Girard 1, Lou Marchetti 1, Jim Kennon 2, Bob Kestner 2, Regina Soufli 3, Eric Gullickson 4 1 Zygo Corporation,

More information

VI. Local Properties of Radiation

VI. Local Properties of Radiation VI. Local Properties of Radiation Kirchhoff-Huygens Approximation Error for Shadowing by a Circular Window Relation to Fresnel Zone September 3 3 by H.L. Bertoni 1 Kirchhoff-Huygen Approximation y α dz

More information

NANONICS IMAGING FOUNTAIN PEN

NANONICS IMAGING FOUNTAIN PEN NANONICS IMAGING FOUNTAIN PEN NanoLithography Systems Methods of Nanochemical Lithography Fountain Pen NanoLithography A. Lewis et al. Appl. Phys. Lett. 75, 2689 (1999) FPN controlled etching of chrome.

More information

UNIVERSITY OF MALTA G.F. ABELA JUNIOR COLLEGE

UNIVERSITY OF MALTA G.F. ABELA JUNIOR COLLEGE UNIVERSITY OF MALTA G.F. ABELA JUNIOR COLLEGE FIRST YEAR END-OF-YEAR EXAMINATION SUBJECT: PHYSICS DATE: JUNE 2010 LEVEL: INTERMEDIATE TIME: 09.00h to 12.00h Show ALL working Write units where appropriate

More information

Astronomical Techniques

Astronomical Techniques Astronomical Techniques Spectrographs & Spectroscopy Spectroscopy What is spectroscopy? A little history. What can we learn from spectroscopy? Play with simple spectrographs. Basic optics of a spectrograph.

More information

(i.e. what you should be able to answer at end of lecture)

(i.e. what you should be able to answer at end of lecture) Today s Announcements 1. Test given back next Wednesday 2. HW assigned next Wednesday. 3. Next Monday 1 st discussion about Individual Projects. Today s take-home lessons (i.e. what you should be able

More information

31. Diffraction: a few important illustrations

31. Diffraction: a few important illustrations 31. Diffraction: a few important illustrations Babinet s Principle Diffraction gratings X-ray diffraction: Bragg scattering and crystal structures A lens transforms a Fresnel diffraction problem into a

More information

AOL Spring Wavefront Sensing. Figure 1: Principle of operation of the Shack-Hartmann wavefront sensor

AOL Spring Wavefront Sensing. Figure 1: Principle of operation of the Shack-Hartmann wavefront sensor AOL Spring Wavefront Sensing The Shack Hartmann Wavefront Sensor system provides accurate, high-speed measurements of the wavefront shape and intensity distribution of beams by analyzing the location and

More information

Engineering Physics 1 Prof. G.D. Vermaa Department of Physics Indian Institute of Technology-Roorkee

Engineering Physics 1 Prof. G.D. Vermaa Department of Physics Indian Institute of Technology-Roorkee Engineering Physics 1 Prof. G.D. Vermaa Department of Physics Indian Institute of Technology-Roorkee Module-04 Lecture-02 Diffraction Part - 02 In the previous lecture I discussed single slit and double

More information

Chapter (5) Matter Waves

Chapter (5) Matter Waves Chapter (5) Matter Waves De Broglie wavelength Wave groups Consider a one- dimensional wave propagating in the positive x- direction with a phase speed v p. Where v p is the speed of a point of constant

More information

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory Title Spatial scaling metrics of mask-induced induced line-edge roughness Permalink https://escholarship.org/uc/item/5rc666c3

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Introduction to aberrations OPTI518 Lecture 5

Introduction to aberrations OPTI518 Lecture 5 Introduction to aberrations OPTI518 Lecture 5 Second-order terms 1 Second-order terms W H W W H W H W, cos 2 2 000 200 111 020 Piston Change of image location Change of magnification 2 Reference for OPD

More information

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Silicon VLSI Technology. Fundamentals, Practice and Modeling Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Photolithography (Chap. 1) Basic lithography process Apply photoresist Patterned

More information

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective Jeff Bruner Compliance Engineering Project Manager KLA-Tencor RAPID Division Topics

More information

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology Jae-Seok Yang, David Z. Pan Dept. of ECE, The University of Texas at Austin, Austin, Tx 78712 jsyang@cerc.utexas.edu,

More information

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like Far IR Gas Lasers 10-1500 microns wavelengths, 300 10 THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like microwave signal than light Created by Molecular vibronic

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Chapter 16 Holography

Chapter 16 Holography Chapter 16 Holography Virtually all recording devices for light respond to light intensity. Problem: How to record, and then later reconstruct both the amplitude and phase of an optical wave. [This question

More information

Today. MIT 2.71/2.710 Optics 11/10/04 wk10-b-1

Today. MIT 2.71/2.710 Optics 11/10/04 wk10-b-1 Today Review of spatial filtering with coherent illumination Derivation of the lens law using wave optics Point-spread function of a system with incoherent illumination The Modulation Transfer Function

More information

FOR EXAMINER S USE There are four marks for the quality of written communication in Section Max. Mark

FOR EXAMINER S USE There are four marks for the quality of written communication in Section Max. Mark ADVANCED SUBSIDIARY GCE 2861 PHYSICS B (ADVANCING PHYSICS) Understanding Processes THURSDAY 22 MAY 2008 Afternoon Time: 1 hour 30 minutes *CUP/T43053* Candidates answer on the question paper Additional

More information

AN1106 Maximizing AO Diffraction efficiency. Efficiency is typically defined as the ratio of the zero and first order output beams:

AN1106 Maximizing AO Diffraction efficiency. Efficiency is typically defined as the ratio of the zero and first order output beams: AN1106 Maximizing AO Diffraction efficiency Nov11 Efficiency is typically defined as the ratio of the zero and first order output beams: Absorber First Order Input q Bragg q Sep Zero Order Transducer Diffraction

More information

Photonic Crystals. Introduction

Photonic Crystals. Introduction Photonic Crystals Introduction Definition Photonic crystals are new, artificialy created materials, in which refractive index is periodically modulated in a scale compared to the wavelength of operation.

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006 MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, 2006 Handed out Thursday, April 27, 2006 Due no later than 5 PM on May 18, 2006 This is a take-home assignment. You may use

More information

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes Illuminated Reticle Technologies for Rifle Scopes A comparison of the diffraction grating technology with etch-and-fill Illuminated Reticle Technologies for Riflescopes A comparison of the diffraction

More information

High Index Aqueous Immersion Fluids for 193nm and 248nm Lithography

High Index Aqueous Immersion Fluids for 193nm and 248nm Lithography High Index Aqueous Immersion Fluids for 193nm and 248nm Lithography B. W. Smith, Y. Fan, J. Zhou, A. Bourov, L. Zavyalova, E. Piscani, J. Park, D. Summers, F. Cropanese Rochester Institute of Technology

More information

Laser Speckle and Applications in Optics

Laser Speckle and Applications in Optics Laser Speckle and Applications in Optics M. FRANCON Optics Laboratory Faculty of Sciences University of Paris Paris, France Translated by HENRI H. ARSENAULT Department of Physics Laval University Quebec,

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Diffraction Gratings, Atomic Spectra. Prof. Shawhan (substituting for Prof. Hall) November 14, 2016

Diffraction Gratings, Atomic Spectra. Prof. Shawhan (substituting for Prof. Hall) November 14, 2016 Diffraction Gratings, Atomic Spectra Prof. Shawhan (substituting for Prof. Hall) November 14, 2016 1 Increase number of slits: 2 Visual Comparisons 3 4 8 2 Diffraction Grating Note: despite the name, this

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION DOI: 10.1038/NPHOTON.2013.97 Supplementary Information Far-field Imaging of Non-fluorescent Species with Sub-diffraction Resolution Pu Wang et al. 1. Theory of saturated transient absorption microscopy

More information

General imaging of advanced 3D mask objects based on the fully-vectorial Extended Nijboer-Zernike (ENZ) theory

General imaging of advanced 3D mask objects based on the fully-vectorial Extended Nijboer-Zernike (ENZ) theory General imaging of advanced 3D mask objects based on the fully-vectorial Extended Nijboer-Zernike (ENZ) theory Sven van Haver *a, Olaf T.A. Janssen a, Joseph J.M. Braat a, Augustus J.E.M. Janssen b, H.

More information

Hadamard speckle contrast reduction

Hadamard speckle contrast reduction Published in OPTICS LETTERS 29, -3 (2004) Hadamard speckle contrast reduction Jahja I. Trisnadi Silicon Light Machines, 385 Moffett Park Drive, Sunnyvale, California 94089 The conditon for a diffuser to

More information

Notes on Huygens Principle 2000 Lawrence Rees

Notes on Huygens Principle 2000 Lawrence Rees Notes on Huygens Principle 2000 Lawrence Rees In the 17 th Century, Christiaan Huygens (1629 1695) proposed what we now know as Huygens Principle. We often invoke Huygens Principle as one of the fundamental

More information

Caution! Pay close attention to the special operation and safety instructions in the manual of the ultrasonic echoscope.

Caution! Pay close attention to the special operation and safety instructions in the manual of the ultrasonic echoscope. Ultrasonic B-Scan TEAS Related topics Sound velocity, reflection coefficient, ultrasonic echography, A-scan, B-scan, grey-scale dis-play, resolution, zone of focus, and image artefacts. Principle The fundamental

More information

Ray Optics. 30 teaching hours (every wednesday 9-12am) labs as possible, tutoring (see NW s homepage on atomoptic.

Ray Optics. 30 teaching hours (every wednesday 9-12am) labs as possible, tutoring (see NW s homepage on  atomoptic. Erasmus Mundus Mundus OptSciTech Nathalie Westbrook Ray Optics 30 teaching hours (every wednesday 9-12am) including lectures, problems in class and regular assignments,, as many labs as possible, tutoring

More information

Supporting information:

Supporting information: Supporting information: Wavevector-Selective Nonlinear Plasmonic Metasurfaces Kuang-Yu Yang, 1,# Ruggero Verre, 2, # Jérémy Butet, 1,#, * Chen Yan, 1 Tomasz J. Antosiewicz, 2,3 Mikael Käll, 2 and Olivier

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

EDEXCEL NATIONAL CERTIFICATE UNIT 28 FURTHER MATHEMATICS FOR TECHNICIANS OUTCOME 2- ALGEBRAIC TECHNIQUES TUTORIAL 2 - COMPLEX NUMBERS

EDEXCEL NATIONAL CERTIFICATE UNIT 28 FURTHER MATHEMATICS FOR TECHNICIANS OUTCOME 2- ALGEBRAIC TECHNIQUES TUTORIAL 2 - COMPLEX NUMBERS EDEXCEL NATIONAL CERTIFICATE UNIT 8 FURTHER MATHEMATICS FOR TECHNICIANS OUTCOME - ALGEBRAIC TECHNIQUES TUTORIAL - COMPLEX NUMBERS CONTENTS Be able to apply algebraic techniques Arithmetic progression (AP):

More information

EE-612: Lecture 22: CMOS Process Steps

EE-612: Lecture 22: CMOS Process Steps EE-612: Lecture 22: CMOS Process Steps Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1) Unit Process

More information

Design and Correction of Optical Systems

Design and Correction of Optical Systems Design and Correction of Optical Systems Lecture 7: PSF and Optical transfer function 017-05-0 Herbert Gross Summer term 017 www.iap.uni-jena.de Preliminary Schedule - DCS 017 1 07.04. Basics 1.04. Materials

More information