An On-Wafer Deembedding Procedure for Devices under Measurement with Error-Networks Containing Arbitrary Line Lengths

Size: px
Start display at page:

Download "An On-Wafer Deembedding Procedure for Devices under Measurement with Error-Networks Containing Arbitrary Line Lengths"

Transcription

1 An On-Wafer Deembedding Procedure for Devices under Measurement with Error-Networks Containing Arbitrary Line Lengths Thomas-Michael Winkel, Lohit Sagar Dutta, Hartmut Grabinski Laboratorium fur Informationstechnologie, University of Hannover, Schneiderberg 32,30167 Hannover, Germany Abstract Error networks that include contact structures and which embed devices under measurement (DUM) can often be partitioned into different line segments having constant line widths. The basic idea of the here proposed deembedding procedure is the calculation of the error network from a piece by piece characterization of the line segments. In the first step of the proposed deembedding method, the propagation constants and the characteristic impedances of the various line segments are calculated from high frequency S-parameter measurements. In the second step, the chain parameter matrix A,, of the different line segments are then calculated. The third step consists of the calculation of the chain parameter matrix A,, of the complete error network. Finally, one can calculate the scattering chain parameter matrix Terror from the related chain parameter matrix A Lmor of the complete error network. The main advantage of this method lies in the fact that only thru lines with different line lengths have to be measured. A further advantage of this deembedding procedure is that the error networks embedding different DUM's can contain line segments of arbitrary line lengths. Therefore, the proposed deembedding procedure can be used for DUM's that are embedded in error networks that consist of different line segments with constant line widths. Introduction Most common deembedding procedures are usually based on S-parameter measurements of different reference standards with known or partially unknown characteristics, for example different combinations of loads, reflects (shorts, opens) and thru or delay lines with different line lengths [ The quality of these deembedding procedures depend heavily on the accuracy with which these reference standards can be fabricated. The fabrication of high quality loads and shorts with constant values over a wide frequency range is problematic, especially on semiconductor substrates. In general, for the characterization of a multiple number of different devices a correct deembeddjng is only possible when the devices are embedded in exactly the same error networks as was measured during the on wafer calibration procedure. This means, that all line lengths in the error networks have to be always the same. This restriction is not necessary anymore in the here proposed procedure, since variable line lengths can easily be taken into consideration during the calculations of the chain parameter matrices of the error networks. This is possible without having to measure any new reference structures. 102

2 The here proposed deembedding procedure for on-wafer measurements employs only S- parameter measurements of thru lines with different line lengths, together with an additional reflection measurement of a separate pad structure. The method described is applicable under the assumption that the network analyzer, the microwave cable and the microwave probes employed during measurements have already been previously calibrated by using a common calibration procedure (i.e. LRM, TRL, SOLT ect.) with well known reference standards. In this work reference standards on an LRM impedance substrate (fabricated by Cascade Microtech) were used. Measurement Setup In the case of high frequency signal propagation on lines, the currents and voltages can not be measured directly, since these quantities have a wave character and are only well defined for purely TEM wave propagation. Instead the amplitudes and phases of the signals can be determined using scattering parameter (S-parameter) measurements. The S-parameter measurements were performed with a HP 8720B 2 port network analyzer in a frequency range from 200 MHz to 20 GHz. The network analyzer was connected through special flexible microwave cable to cascade coplanar microwave probes with characteristic impedances of 50 Q. The short-gpen-load-through (SOLT) calibration, as was proposed by cascade microtech for the HP 8720B network analyzer [7], was used to calibrate the network analyzer in combination with the flexible microwave cables and microwave probes. The reference standards used for the calibration were fabricated by cascade microtech on special alumina substrate. As a result of the calibration procedure, the reference planes of the measurements had to be taken at the contact points of the microwave probes. Due to the usage of coplanar microwave probes, special contact structures had to be designed for the measurements as depicted in figure 1. Theory In order to characterize a DUM that is Contactpads embedded in structures containing connecting lines and contact pads for the measurement probes, one has to first determine the scattering chain parameter matrices of the error networks TA and TB (figure. 1). The unknown scattering chain parameter matrix of the error network TA can be given by: TA =,[ I2) tu21 (1) tu22 7 Figure 1 Device under measurement embedded with The network described by TA can be connecting lines and contact structures divided into different line segments as shown in 103

3 figure 2. The chain parameter matrices of these line segments are given by the following equations: These matrices contain the unknown parameters which are the propagation constants ( y,, y,) and the characteristic impedances (Zs, 2,). If these values are known, the chain parameter matrix of the complete error network can be calculated through a simple multiplication A=A, A, A,. The normalized chain parameter matrix A 'can then be calculated from: fi (3) Herin the impedance 2, represents the reference impedance of the microwave probes, in the present case 50 Q. Finally, the scattering chain parameter matrix of the error network TA can be calculated from a simple A to T-parameter transformation. The scattering chain parameter matrix TB can be calculated similar to TA. open!pad padline i line i segment contact plane of the microwave probes (=reference plane) single line segment Figure 2 Subdivided signal line of the error network TA In order to characterize the error networks TA and TB one has to determine the unknown parameters ys, y, Z,, Z,. As mentioned above, this can be achieved by 5 measurements: 4 t h line ~i~~~ 3 Geometry of the reference line smcmeasurements and 1 reflection mesurement. ture including the emor networks and the Therefore, the DUM must be substituted by a single line segments transmission line that connects TA with TB. In figure 3 the geometry of a reference line structure is shown which was used for the on-wafer deembedding procedure. All reference structures were fabricated on silicon substrates. The first reference line structure is partitioned into error networks, that represented by the scattering chain parameter matrices TA and TB and into a single line section T, of line length I, and line width w,. The single line section T, of the second reference line structure has the line length I, with 12+I,..In contrast to the first and second reference line, the third and fourth reference lines on the other I 104

4 hand, must have the same line widths wp as the contact pad structures. The line lengths of these reference lines are l3 and l4 with One can now calculate the propagation constants and the characteristic impedances of the lines with the line widths wp and w, using the new method as proposed in [6], which we will call the twin reference line method. A short summary of the method is presented in the appendix and [6]. The fringing effects of the pad structures can be taken into account by a simple reflection measurement of a separate pad structure. One important advantage of this method is that one can use arbitrary line lengths of the single line segments (figure 3) connecting the contact pad to the DUM. The only thing one has to do is to calculate the chain parameter matrix A, (equation 1) of the single line segment using the actual line length 1, and the appropriate values of A, A and TA. This means that no new measurements for the characterization of the error networks are needed anymore! Another important advantage of the presented method lies in the fact that only thru lines of different line lengths have to be measured. In contrast to the reference standards (loads and shorts) normally employed during calibration, these reference lines can be easily fabricated in a high quality for high frequency measurements, especially on semiconductor substrates. Measurement Results In order to proof the accuracy of the above described deembedding method we will compare the measured S-parameter SI, of an embedded thru line with 5 mm line length with the S-parameter SlZc of the deembedded thru line together with the S-parameter S]Zb of the pure line calculated with the help of its propagation constant. The S-parameter matrix of such a line that is terminated by its characteristic impedance 2, is given by: where y is the propagation constant and 1 the line length. The propagation constant can be easily and very accurately determined by the method proposed in [8]. Therefore, the S-parameter SlZc of a deembedded line must be equivalent to the S-parameter calculated with the help of the propagation constant as given by (4). In order to perform a deembedding of a measured thru line one has to first calculate the scattering chain parameter matrix T, from the measured S-parameter matrix S, where Tm = TA. Ti TB The error network TA has to be calculated as described above. The calculation of the error network TB will be described in the following. The chain parameter matrix A, is given by: 105

5 0.01 I I I I I 1 I I I I I I I I I I I I I I Frequency (GHz) Figure 4 Magnitude of S12: a) for the line embedded with contact structures (SI,=), b) for the pure line (S,,,), c) for the deembedded line (S,J Frequency (GHz) Figure 5 Phase of S,,: a) for the line embedded with contact structures (S,,,), b) for the pure line (S,), c) for the deembedded line (S12J 106

6 The normalized chain parameter matrix A, 'can be calculated from: The scattering chain parameter matrix T, can now be calculated by a simple A to T-parameter transformation. In figures 4 and 5 the magnitude and phase of the measured S-parameter S12a of a line embedded in contact structures (a) is compared to the S-parameters S,,, of a line terminated by its characteristic impedance (b), as well as to the S-parameter SIZc of a deembedded line (c). In contrast to the expected difference between Slta and S,2c the magnitude and phase of the S- parameter SlZc of the deembedded line shows a nearly perfect agreement with the S-parameters SIZb as calculated for the pure line. Conclusion and further work A new on-wafer deembedding procedure, that can be performed with lossy lines on ICs in a high frequency range has been presented. The major advantages of this method are: - The characterization of the error networks can be performed using only thru lines and a separate pad structure which are easily fabricated even on silicon substrates. - The position of the reference plane can be shifted by only choosing a different line length for the single line segment as depicted in figure 2 during the calculation of the chain parameter matrix of the complete error network, i.e. without additional measurements. This deembedding procedure presents measurement results which are extremly accurate. This method can be extended further to also include 4 port measurements of DUMs with coupled line segments and which will be published in the near future. Appendix The chain parameter matrix A of a single line terminated by its characteristic impedance Z, is given by: 107

7 1 A = f sinh[y* I ] cosh[y- I ] y denotes here the propagation constant of the line and I the line length. If the chain parameter matrix in equation (8) is given, the characteristic impedance of a single line can then be calculated from: zs = J" I a21 In general, the chain parameter matrix of a line as given in equation (8) cannot directly be measured, since all measured transmission lines are embedded in appropriate contact structures (figure 3), which are described by the unknown 2x2 matrices TA and TB, that represent the error networks. Therefore, one can formulate the matrix of the measured (index m) scattering chain parameter matrix T,,, as follows: (9) where is the scattering chain parameter matrix of the line. Thus, one can ascertain two scattering chain parameter matrices, denoted by T,, and TmZ, of two lines with different lengths, from measurements: In order to eliminate e.g. TB one has to first calculate the inverse matrix of T,,,, and then multiply the result with Tm2 from the left: where 108

8 The unknown matrix TA is given in equation 1. As mentioned above, the matrix TA describes the error network (e.g. pad structures ect.) consisting of only line segments as depicted in figure 2 and figure 3. The chain parameter matrices of the line segments are A, for the open pad line, A, for the pad line segment, and A, for the single line segment and are given in equation 2, where ys and y, are the propagation constants of a single line (line width w,) and of a line with the same width as the pad, respectively. 2, and 2, are the characteristic impedances of these two lines. I,, I, and are the line lengths as depicted in figure 2. The unknown matrix TA can be calculated through a simple transformation (A- to T-parameter transformation) from the normalized chain parameter matrix A '(equation 3). In a similar manner to equation (9), one can in the next step calculate the measured characteristic impedance. Therefore, the chain parameter matrix can be calculated from the left hand side of equation (14), i.e from the scattering chain parameter matrix Tm2Tml*', with the help of a known matrix transformation (T-parameter to A-parameter). The impedance Zmub, which we want to define as the "measured substitute impedance", is then calculated from Amrub by the following expression (cf. equation (9)): Zmub = 4% I am2 I Zmub still includes the influences of the pad. In order to calculate the true characteristic impedance 2, from the measured substitute impedance, one has to now calculate Zmub analytically, in addition to (17), from the right hand side of equation (14) by using equations (1, 9, 14, 15) in a similar way to (17): Notice that (18) includes only the elements of TA. The radical of the right hand side in equation (1 8) is a rational fraction with the numerator N and the denominator D represented by polynomials that include only the unknown parameter y,, yp, Z, and Zp (cf.(2) and (3)). 109

9 (17) with (18) and eliminate y,, y,, and Z, from this expression. The propagation constant y, can be determined after equating coshys.(12- I,) = amll (19) do++z,,~-zs I a!!!!!, I, \ coshy,,. I,, I Zp. sinhy; Ip t coshyp. Ip I and I, /=l,+l,. Using equations (3) and (20) the characteristic impedance Z, of the pad line can be expressed by: 2 2 Zp = Zmubp. Zn2- (1 - (tanhy; 1J2) (21) where Zmubp is now the measured substitute impedance of the transmission line with line width w,=50 pm (similar to (17)). Thus, equation (17) and (18) can be converted into a polynomial with only one unknown parameter, namely, the characteristic impedance Z,: Since the largest power of Z, in (22) is 2, the solution of this polynomial with respect to Z, is simple. Nevertheless, the constants of the polynomial are very complex and will therefore not be presented in this paper. The fringing fields of the open pad line and the step (transition pad line segment to single line segment) behave like additional small virtual lines, which can be characterized by an additional S-parameter measurement (reflection coefficient S,) on a seperate pad structure. These 110

10 calculated virtual additional line lengths lvi, have to be added, in equation (2), to the line lengths I, and Zp, respectively. Therefore, one has to replace I, and Ip in equation (2) by I* = lo + lvirt and ws $. = lp + lyirl. (1 --) (24) wp respectively. The consideration of the virtual additional line lengths is important, especially when the line width of the single line segment is very small in comparision to the width of the pad line segment. Due to the negligible influence of the virtual additional line lengths on the calculated characteristic impedance of the pad line segment Zp, the line length I, is usually not replaced by 1, in equation (21). References Norman R. Franzen and Ross A. Speciale, A New Procedure for System Calibration and Error Removal in Automated S-Parameter Measurements, European Microwave Conference Proceedings, pp , 1975 G. F. Engen and C. A. Hoer, Thru-reflect-line, an improved technique for calibrating the dual six-port automatic network analyzer,leee Transactions on Microwave Theory and Techniques, vol. MTT-27, pp , Dec Gmmo J. Silvonen, A general approach to network calibration, IEEE Transactions on Microwave Theory and Techniques, ~01.40, pp , April 1992 H.-J.Eul and B. Schiek, A Generalized Theory and New Calibration Procedures for Network Analyzer Self-Calibration, IEEE Transactions on Microwave Theory and Techniques, ~01.39, pp , April 1991 H. Van Hamme and M. Vanden Bossche, Flexible Vector Network Analyzer Calibration with Accuracy Bounds Using an 8-Term or a 16-Term Error Correction Model, IEEE Transactions on Microwave Theory and Techniques, vo1.42, no.6, pp , June 1994 Thomas-Michael Winkel, Lohit Sagar Dutta, Hartmut Grabinski, An Accurate Determination of the Characteristic Impedance of Lossy Lines on Chips Based on High Frequency S-Parameter Measurements, IEEE Multi-Chip Module Conference MCMC-96,5-7 February, 1996, Santa Cruz, California, pp Cascade Microtech, Microwave Wafer Probe Calibration Constants, Instruction Manual Jyoti P. Mondal, Tzu-Hung Chen, Propagation constant determination in microwave fixture de-embedding procedure, IEEE Transactions on Microwave Theory and Techniques, Vol. 36, No. 4, April

Lecture 13. Vector Network Analyzers and Signal Flow Graphs

Lecture 13. Vector Network Analyzers and Signal Flow Graphs HP8510 Lecture 13 Vector Network Analyzers and Signal Flow Graphs 1 Vector Network Analyzers HP8510 Agilent 8719ES R&S ZVA67 VNA 2 ports, 67 GHz port 1 port 2 DUT Agilent N5247A PNA-X VNA, 4 ports, 67

More information

Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher

Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher Advancements in mm-wave On-Wafer Measurements: A Commercial Multi-Line TRL Calibration Author: Leonard Hayden Presenter: Gavin Fisher The title of this section is A Commercial Multi-Line TRL Calibration

More information

Accuracy Estimations of S-Parameter Measurements at the Wafer-Level

Accuracy Estimations of S-Parameter Measurements at the Wafer-Level WORKSHOP WSF13 (EuMC/EuMIC) Determining Accuracy of Measurements at High Frequencies from Error to Uncertainty Accuracy Estimations of S-Parameter Measurements at the Wafer-Level H. Heuermann*, A. Rumiantsev**

More information

Calibration Uncertainty Estimation. at the Wafer Level

Calibration Uncertainty Estimation. at the Wafer Level Calibration Uncertainty Estimation for the S-Parameter S Measurements at the Wafer Level A. Rumiantsev SUSS MicroTec Test Systems GmbH Sussstr. 1, Sacka, D-01561, Germany a.rumiantsev@ieee.org Outline

More information

Network Methods for Electromagnetic Field. Multiphysics Modeling

Network Methods for Electromagnetic Field. Multiphysics Modeling Network Methods for Electromagnetic Field and Multiphysics Modeling Peter Russer and Johannes Russer Institute for Nanoelectronics Technical University Munich, Germany Email: russer@tum.de #1 Introduction

More information

IN order to characterize the

IN order to characterize the D E S G N F E A T U R E VERFY WAFER-PROBE REFERENCE PLANES FOR MMC TESTNG Three techniques for verification of planar calibration standards agree within ko.1 ps of electrical length. N order to characterize

More information

Ripple Method for Evaluating Residual Errors

Ripple Method for Evaluating Residual Errors Ripple Method for Evaluating Residual Errors H. Heuermann Univ. of Applied Sciences Aachen, Institute of High Frequency Tech., Eupener Str. 7, D-5266 Aachen, Germany Heuermann@FH-Aachen.de Heuermann HF-Technik

More information

De-embedding in High Speed Design

De-embedding in High Speed Design DesignCon 2012 De-embedding in High Speed Design Dr. Don DeGroot, CCN & Andrews University Don@ccnlabs.com, 303-872-7700 Dr. Kaviyesh Doshi, LeCroy Corporation Kaviyesh.Doshi@lecroy.com, 845-425-2000 David

More information

This section reviews the basic theory of accuracy enhancement for one-port networks.

This section reviews the basic theory of accuracy enhancement for one-port networks. Vector measurements require both magnitude and phase data. Some typical examples are the complex reflection coefficient, the magnitude and phase of the transfer function, and the group delay. The seminar

More information

Probe Tip Characterization Using Self-Calibration. Lab. RF Modeling

Probe Tip Characterization Using Self-Calibration. Lab. RF Modeling Probe Tip Characterization Using Self-Calibration 1 Overview - equipment - how to determine probe S-parameter and parasitics (probe specific calibration coefficients) for different probes with only one

More information

S-Parameter Calibration of Two-Port Setup: Gavin Fisher Cascade Microtech

S-Parameter Calibration of Two-Port Setup: Gavin Fisher Cascade Microtech -Parameter Calibration of Two-Port etup: How to choose the optimal calibration method? Gavin Fisher Cascade Microtech Content Error Modeling of a two-port setup Calibration methods OLT elf-calibration

More information

A Multi-Line De-Embedding Technique for mm-wave CMOS Circuits

A Multi-Line De-Embedding Technique for mm-wave CMOS Circuits A Multi-Line De-Embedding Technique for mm-wave CMOS Circuits Naoki Takayama, Kota Matsushita, Shogo Ito, Ning Li, Keigo Bunsen Kenichi Okada, and Akira Tokyo Institute of Technology, Japan Outline 2 Background

More information

PRESENT advanced microprocessor designs rely heavily

PRESENT advanced microprocessor designs rely heavily IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 28, NO. 1, FEBRUARY 2005 57 Experimental Validation of Crosstalk Simulations for On-Chip Interconnects Using S-Parameters Mauro J. Kobrinsky, Sourav Chakravarty,

More information

WE PRESENT an iterative algorithm, which we first

WE PRESENT an iterative algorithm, which we first IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 51, NO. 12, DECEMBER 2003 2391 An Optimal Vector-Network-Analyzer Calibration Algorithm Dylan F. Williams, Fellow, IEEE, Jack C. M. Wang, and

More information

Broad-band space conservative on wafer network analyzer calibrations with more complex SOLT definitions

Broad-band space conservative on wafer network analyzer calibrations with more complex SOLT definitions University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 24 Broad-band space conservative on wafer network analyzer calibrations with more complex SOLT definitions

More information

PAD MODELING BY USING ARTIFICIAL NEURAL NETWORK

PAD MODELING BY USING ARTIFICIAL NEURAL NETWORK Progress In Electromagnetics Research, PIER 74, 167 180, 2007 PAD MODELING BY USING ARTIFICIAL NEURAL NETWORK X. P. Li School of Telecommunication Engineering Beijing University of Posts and Telecommunications

More information

Electrical Characterization of 3D Through-Silicon-Vias

Electrical Characterization of 3D Through-Silicon-Vias Electrical Characterization of 3D Through-Silicon-Vias F. Liu, X. u, K. A. Jenkins, E. A. Cartier, Y. Liu, P. Song, and S. J. Koester IBM T. J. Watson Research Center Yorktown Heights, NY 1598, USA Phone:

More information

Causal Characteristic Impedance of Planar Transmission Lines

Causal Characteristic Impedance of Planar Transmission Lines IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 26, NO. 2, MAY 2003 165 Causal Characteristic Impedance of Planar Transmission Lines Dylan F. Williams, Fellow, IEEE, Bradley K. Alpert, Uwe Arz, Member, IEEE,

More information

MEASURE THE COMPLEX PERMEABILITY OF FER- ROMAGNETIC THIN FILMS: COMPARISON SHORTED MICROSTRIP METHOD WITH MICROSTRIP TRANS- MISSION METHOD

MEASURE THE COMPLEX PERMEABILITY OF FER- ROMAGNETIC THIN FILMS: COMPARISON SHORTED MICROSTRIP METHOD WITH MICROSTRIP TRANS- MISSION METHOD Progress In Electromagnetics Research Letters, Vol. 11, 173 181, 2009 MEASURE THE COMPLEX PERMEABILITY OF FER- ROMAGNETIC THIN FILMS: COMPARISON SHORTED MICROSTRIP METHOD WITH MICROSTRIP TRANS- MISSION

More information

Transmission-Reflection Method to Estimate Permittivity of Polymer

Transmission-Reflection Method to Estimate Permittivity of Polymer Transmission-Reflection Method to Estimate Permittivity of Polymer Chanchal Yadav Department of Physics & Electronics, Rajdhani College, University of Delhi, Delhi, India Abstract In transmission-reflection

More information

Lecture 12. Microwave Networks and Scattering Parameters

Lecture 12. Microwave Networks and Scattering Parameters Lecture Microwave Networs and cattering Parameters Optional Reading: teer ection 6.3 to 6.6 Pozar ection 4.3 ElecEng4FJ4 LECTURE : MICROWAE NETWORK AND -PARAMETER Microwave Networs: oltages and Currents

More information

Open-Thru de-embedding for Graphene RF devices

Open-Thru de-embedding for Graphene RF devices Open-Thru de-embedding for Graphene RF devices Giancarlo Vincenzi, Georgios Deligeorgis, Fabio Coccetti, Patrick Pons To cite this version: Giancarlo Vincenzi, Georgios Deligeorgis, Fabio Coccetti, Patrick

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Svetlana Carsof Sejas García

Svetlana Carsof Sejas García Characterization and modeling of passive components and interconnects using microwave techniques By Svetlana Carsof Sejas García A thesis Submitted to the Program in Electronics Science, Electronic Department,

More information

Contents. Transmission Lines The Smith Chart Vector Network Analyser (VNA) ü structure ü calibration ü operation. Measurements

Contents. Transmission Lines The Smith Chart Vector Network Analyser (VNA) ü structure ü calibration ü operation. Measurements Contents Transmission Lines The Smith Chart Vector Network Analyser (VNA) ü structure ü calibration ü operation Measurements Göran Jönsson, EIT 2015-04-27 Vector Network Analysis 2 Waves on Lines If the

More information

Microwave Network Analysis

Microwave Network Analysis Prof. Dr. Mohammad Tariqul Islam titareq@gmail.my tariqul@ukm.edu.my Microwave Network Analysis 1 Text Book D.M. Pozar, Microwave engineering, 3 rd edition, 2005 by John-Wiley & Sons. Fawwaz T. ILABY,

More information

Unknown Thru Calibration Algorithm

Unknown Thru Calibration Algorithm Introduction Calibration Kit SOL 1-Port SOLT 2-Port SOLR 2-Port Conclusion Unknown Thru Calibration Algorithm Short-Open-Load-Reciprocal SOLR T Reveyrand NVNA Users Forum - INMMiC 2018 Brive-la-Gaillarde,

More information

Everything you've always wanted to know about Hot-S22 (but we're afraid to ask)

Everything you've always wanted to know about Hot-S22 (but we're afraid to ask) Jan Verspecht bvba Gertrudeveld 15 1840 Steenhuffel Belgium email: contact@janverspecht.com web: http://www.janverspecht.com Everything you've always wanted to know about Hot-S22 (but we're afraid to ask)

More information

Calibrating On-Wafer Probes to the Probe Tips

Calibrating On-Wafer Probes to the Probe Tips Calibrating On-Wafer Probes to the Probe Tips Dylan F. Williams and Roger B. Marks National Institute of Standards and Technology 325 Broadway, Boulder, CO 80303 Abstract This paper investigates the accuracy

More information

ECE 546 Lecture 13 Scattering Parameters

ECE 546 Lecture 13 Scattering Parameters ECE 546 Lecture 3 Scattering Parameters Spring 08 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine Transfer Function Representation

More information

Lab #8 Two Port Networks

Lab #8 Two Port Networks Cir cuit s 212 Lab Lab #8 Two Port Networks Network parameters are used to characterize a device. Given the network parameters of a device, the voltage and current characteristics of the device can be

More information

Waves on Lines. Contents. ! Transmission Lines! The Smith Chart! Vector Network Analyser (VNA) ! Measurements

Waves on Lines. Contents. ! Transmission Lines! The Smith Chart! Vector Network Analyser (VNA) ! Measurements Waves on Lines If the wavelength to be considered is significantly greater compared to the size of the circuit the voltage will be independent of the location. amplitude d! distance but this is not true

More information

MICROWAVE AND MILLIMETERWAVE ELECTRICAL PERMITTIVITY OF GRAPHENE MONOLAYER. G. Konstantinidis 3

MICROWAVE AND MILLIMETERWAVE ELECTRICAL PERMITTIVITY OF GRAPHENE MONOLAYER. G. Konstantinidis 3 1 MICROWAVE AND MILLIMETERWAVE ELECTRICAL PERMITTIVITY OF GRAPHENE MONOLAYER Alina Cismaru 1, Mircea Dragoman 1*, Adrian Dinescu 1, Daniela Dragoman 2, G. Stavrinidis, G. Konstantinidis 3 1 National Institute

More information

Scattering Parameters

Scattering Parameters Berkeley Scattering Parameters Prof. Ali M. Niknejad U.C. Berkeley Copyright c 2016 by Ali M. Niknejad September 7, 2017 1 / 57 Scattering Parameters 2 / 57 Scattering Matrix Voltages and currents are

More information

and Ee = E ; 0 they are separated by a dielectric material having u = io-s S/m, µ, = µ, 0

and Ee = E ; 0 they are separated by a dielectric material having u = io-s S/m, µ, = µ, 0 602 CHAPTER 11 TRANSMISSION LINES 11.10 Two identical pulses each of magnitude 12 V and width 2 µs are incident at t = 0 on a lossless transmission line of length 400 m terminated with a load. If the two

More information

Practical Considerations and Solutions for Temperature-Dependent S-Parameter Measurement for Accurate Parameter Extraction of

Practical Considerations and Solutions for Temperature-Dependent S-Parameter Measurement for Accurate Parameter Extraction of Practical Considerations and Solutions for Temperature-Dependent S-Parameter Measurement for Accurate Parameter Extraction of Advanced RF Devices Gavin Fisher, Application Engineer Andrej Rumiantsev, Product

More information

Non-Sinusoidal Waves on (Mostly Lossless)Transmission Lines

Non-Sinusoidal Waves on (Mostly Lossless)Transmission Lines Non-Sinusoidal Waves on (Mostly Lossless)Transmission Lines Don Estreich Salazar 21C Adjunct Professor Engineering Science October 212 https://www.iol.unh.edu/services/testing/sas/tools.php 1 Outline of

More information

Contents. Transmission Lines The Smith Chart Vector Network Analyser (VNA) ü structure ü calibration ü operation. Measurements

Contents. Transmission Lines The Smith Chart Vector Network Analyser (VNA) ü structure ü calibration ü operation. Measurements Contents Transmission Lines The Smith Chart Vector Network Analyser (VNA) ü structure ü calibration ü operation Measurements Göran Jönsson, EIT 2017-05-12 Vector Network Analysis 2 Waves on Lines If the

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: pecial Topics in High-peed Links Circuits and ystems pring 01 Lecture 3: Time-Domain Reflectometry & -Parameter Channel Models am Palermo Analog & Mixed-ignal Center Texas A&M University Announcements

More information

Lecture 11 Date:

Lecture 11 Date: Lecture 11 Date: 11.09.014 Scattering Parameters and Circuit Symmetry Even-mode and Odd-mode Analysis Generalized S-Parameters Example T-Parameters Q: OK, but how can we determine the scattering matrix

More information

Analytic Solutions for Periodically Loaded Transmission Line Modeling

Analytic Solutions for Periodically Loaded Transmission Line Modeling Analytic Solutions for Periodically Loaded Transmission Line Modeling Paul G. Huray, huray@sc.edu Priya Pathmanathan, Intel priyap@qti.qualcomm.com Steve Pytel, Intel steve.pytel@ansys.com April 4, 2014

More information

Contents. ! Transmission Lines! The Smith Chart! Vector Network Analyser (VNA) ! Measurements. ! structure! calibration! operation

Contents. ! Transmission Lines! The Smith Chart! Vector Network Analyser (VNA) ! Measurements. ! structure! calibration! operation Contents! Transmission Lines! The Smith Chart! Vector Network Analyser (VNA)! structure! calibration! operation! Measurements Göran Jönsson, EIT 2009-11-16 Network Analysis 2! Waves on Lines! If the wavelength

More information

Boundary and Excitation Training February 2003

Boundary and Excitation Training February 2003 Boundary and Excitation Training February 2003 1 Why are They Critical? For most practical problems, the solution to Maxwell s equations requires a rigorous matrix approach such as the Finite Element Method

More information

On-Wafer Characterization of Electromagnetic Properties of Thin-Film RF Materials

On-Wafer Characterization of Electromagnetic Properties of Thin-Film RF Materials On-Wafer Characterization of Electromagnetic Properties of Thin-Film RF Materials Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy in the Graduate School

More information

EVALUATION OF COMPLEX PERMITTIVITIES OF MULTILAYER DIELECTRIC SUBSTRATES AT MICROWAVE FREQUENCIES USING WAVEGUIDE MEASUREMENTS

EVALUATION OF COMPLEX PERMITTIVITIES OF MULTILAYER DIELECTRIC SUBSTRATES AT MICROWAVE FREQUENCIES USING WAVEGUIDE MEASUREMENTS EVALUATION OF COMPLEX PERMITTIVITIES OF MULTILAYER DIELECTRIC SUBSTRATES AT MICROWAVE FREQUENCIES USING WAVEGUIDE MEASUREMENTS R. L. Crave, M. D. Deshpande, C. J. Redd 3, and P. I. Tiemsin () NASA Langle

More information

IMPLEMENTATION OF A QUASI-OPTICAL FREE-SPACE S-PARAMETERS MEASUREMENT SYSTEM

IMPLEMENTATION OF A QUASI-OPTICAL FREE-SPACE S-PARAMETERS MEASUREMENT SYSTEM IMPLEMENTATION OF A QUASI-OPTICAL FREE-SPACE S-PARAMETERS MEASUREMENT SYSTEM B. Maffei, S. Legg, M. Robinson, F. Ozturk, M. W. Ng, P. Schemmel and G. Pisano. JBCA, School of Physics and Astronomy, The

More information

Measurements of Electromagnetic Properties of Ferrites above Curie Temperature and impact on kicker performance

Measurements of Electromagnetic Properties of Ferrites above Curie Temperature and impact on kicker performance Measurements of Electromagnetic Properties of Ferrites above Curie Temperature and impact on kicker performance Agnieszka Chmielińska École Polytechnique Fédérale de Lausanne CERN TE ABT PPE April 6, 2018

More information

LAB MANUAL EXPERIMENT NO. 7

LAB MANUAL EXPERIMENT NO. 7 LAB MANUAL EXPERIMENT NO. 7 Aim of the Experiment: Concept of Generalized N-port scattering parameters, and formulation of these parameters into 2-port reflection and transmission coefficients. Requirement:

More information

A CALIBRATION PROCEDURE FOR TWO-PORT VNA WITH THREE MEASUREMENT CHANNELS BASED ON T-MATRIX

A CALIBRATION PROCEDURE FOR TWO-PORT VNA WITH THREE MEASUREMENT CHANNELS BASED ON T-MATRIX Progress In Electromagnetics Research Letters, Vol. 29, 35 42, 2012 A CALIBRATION PROCEDURE FOR TWO-PORT VNA WITH THREE MEASUREMENT CHANNELS BASED ON T-MATRIX W. Zhao *, H.-B. Qin, and L. Qiang School

More information

Transient Analysis of Interconnects by Means of Time-Domain Scattering Parameters

Transient Analysis of Interconnects by Means of Time-Domain Scattering Parameters Transient Analysis of Interconnects by Means of Time-Domain Scattering Parameters Wojciech Bandurski, Poznań Univ. of Technology 60-965 Poznań, Piotrowo 3a, Poland, bandursk@zpe.iee.put.poznan.pl INTRODUCTION

More information

Error Correction in Vector Network Analyzers

Error Correction in Vector Network Analyzers Error Correction in Vector Network Analyzers Thomas C. Baier, DG8SAQ May 19, 2009 Abstract This article describes systematic errors encountered in vector network analysis and how they can be mathematically

More information

Master Degree in Electronic Engineering. Analog and Telecommunication Electronics course Prof. Del Corso Dante A.Y Switched Capacitor

Master Degree in Electronic Engineering. Analog and Telecommunication Electronics course Prof. Del Corso Dante A.Y Switched Capacitor Master Degree in Electronic Engineering TOP-UIC Torino-Chicago Double Degree Project Analog and Telecommunication Electronics course Prof. Del Corso Dante A.Y. 2013-2014 Switched Capacitor Working Principles

More information

AMONG the many algorithms which have been proposed

AMONG the many algorithms which have been proposed IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 48, NO. 5, OCTOBER 1999 927 A New Robust Method for Six-Port Reflectometer Calibration Frank Wiedmann, Member, IEEE, Bernard Huyart, Member, IEEE,

More information

Omar M. Ramahi University of Waterloo Waterloo, Ontario, Canada

Omar M. Ramahi University of Waterloo Waterloo, Ontario, Canada Omar M. Ramahi University of Waterloo Waterloo, Ontario, Canada Traditional Material!! Electromagnetic Wave ε, μ r r The only properties an electromagnetic wave sees: 1. Electric permittivity, ε 2. Magnetic

More information

George T. Flowers Dean Graduate School

George T. Flowers Dean Graduate School ON-WAFER S-PARAMETER MEASUREMENT USING FOUR-PORT TECHNIQUE AND INTERMODULATION LINEARITY OF RF CMOS Except where reference is made to the work of others, the work described in this dissertation is my own

More information

ECE 598 JS Lecture 06 Multiconductors

ECE 598 JS Lecture 06 Multiconductors ECE 598 JS Lecture 06 Multiconductors Spring 2012 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu 1 TELGRAPHER S EQUATION FOR N COUPLED TRANSMISSION LINES

More information

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities

Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Model-Order Reduction of High-Speed Interconnects: Challenges and Opportunities Michel Nakhla Carleton University Canada Model Reduction for Complex Dynamical Systems Berlin 2010 EMI Delay Crosstalk Reflection

More information

SIMULTANEOUS SWITCHING NOISE MITIGATION CAPABILITY WITH LOW PARASITIC EFFECT USING APERIODIC HIGH-IMPEDANCE SURFACE STRUCTURE

SIMULTANEOUS SWITCHING NOISE MITIGATION CAPABILITY WITH LOW PARASITIC EFFECT USING APERIODIC HIGH-IMPEDANCE SURFACE STRUCTURE Progress In Electromagnetics Research Letters, Vol. 4, 149 158, 2008 SIMULTANEOUS SWITCHING NOISE MITIGATION CAPABILITY WITH LOW PARASITIC EFFECT USING APERIODIC HIGH-IMPEDANCE SURFACE STRUCTURE C.-S.

More information

Handling Silicon substrate effects in EM simulators

Handling Silicon substrate effects in EM simulators Slide 1 Handling Silicon substrate effects in EM simulators franz.sischka@agilent.com 7.12.2004 1 Slide 2 Introduction: difference between Substrate Loss Tangent and Substrate Conductivity with respect

More information

Module 13: Network Analysis and Directional Couplers

Module 13: Network Analysis and Directional Couplers Module 13: Network Analysis and Directional Couplers 13.2 Network theory two port networks, S-parameters, Z-parameters, Y-parameters The study of two port networks is important in the field of electrical

More information

ECE 107: Electromagnetism

ECE 107: Electromagnetism ECE 107: Electromagnetism Set 2: Transmission lines Instructor: Prof. Vitaliy Lomakin Department of Electrical and Computer Engineering University of California, San Diego, CA 92093 1 Outline Transmission

More information

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES SHENG F. YEN 1, HAROON LAIS 1, ZHEN YU 1, SHENGDONG LI 1, WILLIAM C. TANG 1,2, AND PETER J. BURKE 1,2 1 Electrical Engineering

More information

<Insert Picture Here> Inter-Dependence of Dielectric and Conductive Losses in Interconnects

<Insert Picture Here> Inter-Dependence of Dielectric and Conductive Losses in Interconnects Inter-Dependence of Dielectric and Conductive Losses in Interconnects Gustavo J. Blando It all started in 9. We just wanted to measure the loss tangent!!!! THE ELEPHANT 1Hz to 1MHz

More information

Microwave Network Analysis Lecture 1: The Scattering Parameters

Microwave Network Analysis Lecture 1: The Scattering Parameters Microwave Network Analysis Lecture : The Scattering Parameters ELC 305a Fall 0 Department of Electronics and Communications Engineering Faculty of Engineering Cairo University Outline Review on Network

More information

ECE 451 Transmission Lines & Packaging

ECE 451 Transmission Lines & Packaging Transmission Lines & Packaging Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Radio Spectrum Bands The use of letters to designate bands has long ago

More information

Single- and Multiport Networks. RF Electronics Spring, 2018 Robert R. Krchnavek Rowan University

Single- and Multiport Networks. RF Electronics Spring, 2018 Robert R. Krchnavek Rowan University Single- and Multiport Networks RF Electronics Spring, 208 Robert R. Krchnavek Rowan University Objectives Generate an understanding of the common network representations of Z, Y, h, and ABCD. To be able

More information

EECS 117 Lecture 3: Transmission Line Junctions / Time Harmonic Excitation

EECS 117 Lecture 3: Transmission Line Junctions / Time Harmonic Excitation EECS 117 Lecture 3: Transmission Line Junctions / Time Harmonic Excitation Prof. Niknejad University of California, Berkeley University of California, Berkeley EECS 117 Lecture 3 p. 1/23 Transmission Line

More information

DESIGN AND OPTIMIZATION OF EQUAL SPLIT BROADBAND MICROSTRIP WILKINSON POWER DI- VIDER USING ENHANCED PARTICLE SWARM OPTI- MIZATION ALGORITHM

DESIGN AND OPTIMIZATION OF EQUAL SPLIT BROADBAND MICROSTRIP WILKINSON POWER DI- VIDER USING ENHANCED PARTICLE SWARM OPTI- MIZATION ALGORITHM Progress In Electromagnetics Research, Vol. 118, 321 334, 2011 DESIGN AND OPTIMIZATION OF EQUAL SPLIT BROADBAND MICROSTRIP WILKINSON POWER DI- VIDER USING ENHANCED PARTICLE SWARM OPTI- MIZATION ALGORITHM

More information

Surface Mount Chip Capacitors

Surface Mount Chip Capacitors Features High '' Factor at high frequencies High RF power capabilities Low High self resonant frequencies Excellent stability across temperature range Small size High Frequency Measurement and Performance

More information

Technique for the electric and magnetic parameter measurement of powdered materials

Technique for the electric and magnetic parameter measurement of powdered materials Computational Methods and Experimental Measurements XIV 41 Technique for the electric and magnetic parameter measurement of powdered materials R. Kubacki,. Nowosielski & R. Przesmycki Faculty of Electronics,

More information

On-Wafer 3-port S-parameter Calibration

On-Wafer 3-port S-parameter Calibration Technical report R97-1006 ISSN 0908-1224 On-Wafer 3-port S-parameter Calibration October 1997 Michael B. Jenner M.Sc.E.E Department of Communication Technology Institute of Electronic Systems Aalborg University

More information

ECE 451 Advanced Microwave Measurements. TL Characterization

ECE 451 Advanced Microwave Measurements. TL Characterization ECE 451 Advanced Microwave Measurements TL Characterization Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 451 Jose Schutt-Aine 1 Maxwell s Equations

More information

Design of Narrow Band Filters Part 1

Design of Narrow Band Filters Part 1 E.U.I.T. Telecomunicación 2010, Madrid, Spain, 27.09 30.09.2010 Design of Narrow Band Filters Part 1 Thomas Buch Institute of Communications Engineering University of Rostock Th. Buch, Institute of Communications

More information

Black Box Modelling of Power Transistors in the Frequency Domain

Black Box Modelling of Power Transistors in the Frequency Domain Jan Verspecht bvba Mechelstraat 17 B-1745 Opwijk Belgium email: contact@janverspecht.com web: http://www.janverspecht.com Black Box Modelling of Power Transistors in the Frequency Domain Jan Verspecht

More information

PDN Tool: Ananalytical Model to Calculate the Input Impedance of Chip and Silicon Interposer Power Distribution

PDN Tool: Ananalytical Model to Calculate the Input Impedance of Chip and Silicon Interposer Power Distribution Journal of Contemporary Electronic Research Education and Research Application Research Article PDN Tool: Ananalytical Model to Calculate the Input Impedance of Chip and Silicon Interposer Power Distribution

More information

Reducing AC impedance measurement errors caused by the DC voltage dependence of broadband high-voltage bias-tees

Reducing AC impedance measurement errors caused by the DC voltage dependence of broadband high-voltage bias-tees 27 IEEE International Conference on Microelectronic Test Structures, March 19-22, Tokyo, Japan. 8.11 Reducing AC impedance measurement errors caused by the DC voltage dependence of broadband high-voltage

More information

Monolithic Nonlinear Transmission Line Using Multi-barrier Devices W-M. Zhang*; X-H. Qin*, J. Y. Liao*, R. P. Fisia e, R. W.

Monolithic Nonlinear Transmission Line Using Multi-barrier Devices W-M. Zhang*; X-H. Qin*, J. Y. Liao*, R. P. Fisia e, R. W. Page 324 Fifth International Symposium on Space Terahertz Technology Monolithic Nonlinear Transmission Line Using Multi-barrier Devices W-M. Zhang*; X-H. Qin*, J. Y. Liao*, R. P. Fisia e, R. W. Geck*,

More information

Accurate Modeling of Spiral Inductors on Silicon From Within Cadence Virtuoso using Planar EM Simulation. Agilent EEsof RFIC Seminar Spring 2004

Accurate Modeling of Spiral Inductors on Silicon From Within Cadence Virtuoso using Planar EM Simulation. Agilent EEsof RFIC Seminar Spring 2004 Accurate Modeling of Spiral Inductors on Silicon From Within Cadence Virtuoso using Planar EM Simulation Agilent EEsof RFIC Seminar Spring Overview Spiral Inductor Models Availability & Limitations Momentum

More information

Efficient Calculation of Surface Impedance for Rectangular Conductors. Emre Tuncer and Dean P. Neikirk

Efficient Calculation of Surface Impedance for Rectangular Conductors. Emre Tuncer and Dean P. Neikirk Efficient Calculation of Surface Impedance for Rectangular Conductors Emre Tuncer and Dean P. Neikirk Electrical and Computer Engineering Department University of Texas at Austin Austin, Texas 78712 Abstract

More information

Hermetic connectors are used throughout

Hermetic connectors are used throughout Measurement of the Anisotropic Dielectric Constant of a Glass Bead in a Coaxial Test Fixture By Bruce Bullard Atmel Corporation Hermetic connectors are used throughout the microwave industry, in applications

More information

Statistical study of Non Uniform Transmission Lines

Statistical study of Non Uniform Transmission Lines Statistical study of Non Uniform Transmission Lines I Summary The main purpose of this part is the study of the statistical behaviour of the output current of a non-uniform transmission line (NUTL) above

More information

ECE 497 JS Lecture - 18 Noise in Digital Circuits

ECE 497 JS Lecture - 18 Noise in Digital Circuits ECE 497 JS Lecture - 18 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements Thursday April 15 th Speaker:

More information

Prof. D. Manstretta LEZIONI DI FILTRI ANALOGICI. Danilo Manstretta AA

Prof. D. Manstretta LEZIONI DI FILTRI ANALOGICI. Danilo Manstretta AA AA-3 LEZIONI DI FILTI ANALOGICI Danilo Manstretta AA -3 AA-3 High Order OA-C Filters H() s a s... a s a s a n s b s b s b s b n n n n... The goal of this lecture is to learn how to design high order OA-C

More information

A UNEQUAL COUPLED-LINE WILKINSON POWER DI- VIDER FOR ARBITRARY TERMINATED IMPEDANCES

A UNEQUAL COUPLED-LINE WILKINSON POWER DI- VIDER FOR ARBITRARY TERMINATED IMPEDANCES Progress In Electromagnetics Research, Vol. 117, 181 194, 211 A UNEQUAL COUPLED-LINE WILKINSON POWER DI- VIDER FOR ARBITRARY TERMINATED IMPEDANCES Y. Wu * and Y. Liu School of Electronic Engineering, Beijing

More information

Name. Section. Short Answer Questions. 1. (20 Pts) 2. (10 Pts) 3. (5 Pts) 4. (10 Pts) 5. (10 Pts) Regular Questions. 6. (25 Pts) 7.

Name. Section. Short Answer Questions. 1. (20 Pts) 2. (10 Pts) 3. (5 Pts) 4. (10 Pts) 5. (10 Pts) Regular Questions. 6. (25 Pts) 7. Name Section Short Answer Questions 1. (20 Pts) 2. (10 Pts) 3. (5 Pts). (10 Pts) 5. (10 Pts) Regular Questions 6. (25 Pts) 7. (20 Pts) Notes: 1. Please read over all questions before you begin your work.

More information

A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS

A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS Progress In Electromagnetics Research, Vol. 19, 197 14, 1 A GENERALIZED COUPLED-LINE DUAL-BAND WILKINSON POWER DIVIDER WITH EXTENDED PORTS J. C. Li *, Y. L. Wu, Y. A. Liu, J. Y. Shen, S. L. Li, and C.

More information

FREQUENTLY ASKED QUESTIONS RF & MICROWAVE PRODUCTS

FREQUENTLY ASKED QUESTIONS RF & MICROWAVE PRODUCTS FREQUENTLY ASKED QUESTIONS RF & MICROWAVE PRODUCTS WHAT IS RF? RF stands for Radio Frequency, which has a frequency range of 30KHz - 300GHz. RF capacitors help tune antenna to the correct frequency. The

More information

THE DEPENDENCY OF PATTERN CORRELATION ON MUTUAL COUPLING AND LOSSES IN ANTENNA ARRAYS

THE DEPENDENCY OF PATTERN CORRELATION ON MUTUAL COUPLING AND LOSSES IN ANTENNA ARRAYS 1 TE DEENDENCY OF ATTERN CORREATION ON MUTUA COUING AND OSSES IN ANTENNA ARRAYS Ilkka Salonen, Clemens Icheln, and ertti Vainikainen elsinki University of Technology TKK, IDC, SMARAD, Radio aboratory.o.box

More information

Experiment 06 - Extraction of Transmission Line Parameters

Experiment 06 - Extraction of Transmission Line Parameters ECE 451 Automated Microwave Measurements Laboratory Experiment 06 - Extraction of Transmission Line Parameters 1 Introduction With the increase in both speed and complexity of mordern circuits, modeling

More information

Calculation of Cable Parameters for Different Cable Shapes

Calculation of Cable Parameters for Different Cable Shapes Excerpt from the Proceedings of the OMSOL onference 008 Hannover alculation of able Parameters for Different able Shapes H. Lorenzen 1, J. Timmerberg, S. Mylvaganam 3 1 UAS OOW, 6389 Wilhelmshaven, Germany,

More information

An enriched RWG basis for enforcing global current conservation in EM modelling of capacitance extraction

An enriched RWG basis for enforcing global current conservation in EM modelling of capacitance extraction Loughborough University Institutional Repository An enriched RWG basis for enforcing global current conservation in EM modelling of capacitance extraction This item was submitted to Loughborough University's

More information

EKV MOS Transistor Modelling & RF Application

EKV MOS Transistor Modelling & RF Application HP-RF MOS Modelling Workshop, Munich, February 15-16, 1999 EKV MOS Transistor Modelling & RF Application Matthias Bucher, Wladek Grabinski Electronics Laboratory (LEG) Swiss Federal Institute of Technology,

More information

How to Analyze the EMC of a Complete Server System?

How to Analyze the EMC of a Complete Server System? How to Analyze the EMC of a Complete Server System? Christian Schuster and Xiaomin Duan Institut für Hamburg, Germany Workshop on Hybrid Computational Electromagnetic Methods for EMC/EMI (WS10) EMC Europe,

More information

Paper V. Acoustic Radiation Losses in Busbars. J. Meltaus, S. S. Hong, and V. P. Plessky J. Meltaus, S. S. Hong, V. P. Plessky.

Paper V. Acoustic Radiation Losses in Busbars. J. Meltaus, S. S. Hong, and V. P. Plessky J. Meltaus, S. S. Hong, V. P. Plessky. Paper V Acoustic Radiation Losses in Busbars J. Meltaus, S. S. Hong, and V. P. Plessky 2006 J. Meltaus, S. S. Hong, V. P. Plessky. V Report TKK-F-A848 Submitted to IEEE Transactions on Ultrasonics, Ferroelectrics,

More information

Transmission Line Basics II - Class 6

Transmission Line Basics II - Class 6 Transmission Line Basics II - Class 6 Prerequisite Reading assignment: CH2 Acknowledgements: Intel Bus Boot Camp: Michael Leddige Agenda 2 The Transmission Line Concept Transmission line equivalent circuits

More information

Application Note. Electrical. Optical

Application Note. Electrical. Optical Application Note Electrical Vector Network Analyzer Port 1 Port 2 Electrical Electrical MX40G E-O Converter Optical O-E Device Under Test Using the MX40G: De Embedding Procedures One of the primary applications

More information

Scattering at One-Dimensional-Transmission-Line Junctions

Scattering at One-Dimensional-Transmission-Line Junctions Interaction Notes Note 603 15 March 007 Scattering at One-Dimensional-ransmission-Line Junctions Carl E. Baum University of New Mexico Department of Electrical and Computer Engineering Albuquerque New

More information

Frequency Multiplexing Tickle Tones to Determine Harmonic Coupling Weights in Nonlinear Systems

Frequency Multiplexing Tickle Tones to Determine Harmonic Coupling Weights in Nonlinear Systems Charles Baylis and Robert J. Marks II, "Frequency multiplexing tickle tones to determine harmonic coupling weights in nonlinear systems,'' Microwave Measurement Symposium (ARFTG), 2011 78th ARFTG pp.1-7,

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

Chapter 2 Voltage-, Current-, and Z-source Converters

Chapter 2 Voltage-, Current-, and Z-source Converters Chapter 2 Voltage-, Current-, and Z-source Converters Some fundamental concepts are to be introduced in this chapter, such as voltage sources, current sources, impedance networks, Z-source, two-port network,

More information