Cellular Automata-Based Recursive Pseudoexhaustive Test Pattern Generator

Size: px
Start display at page:

Download "Cellular Automata-Based Recursive Pseudoexhaustive Test Pattern Generator"

Transcription

1 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY Cellular Automata-Based Recursive Pseudoexhaustive Test Pattern Generator Prabir Dasgupta, Santanu Chattopadhyay, P Pal Chaudhuri, and Indranil Sengupta AbstractÐThis paper presents a recursive technique for generation of pseudoexhaustive test patterns The scheme is optimal in the sense that the first k vectors cover all adjacent k-bit spaces exhaustively It requires substantially lesser hardware than the existing methods and utilizes the regular, modular, and cascadable structure of local neighborhood Cellular Automata (CA), which is ideally suited for VLSI implementation In terms of XOR gates, this approach outperforms earlier methods by to percent Moreover, test effectiveness and hardware requirements have been established analytically, rather than by simple simulation and logic minimization Index TermsÐData path architecture, pseudoexhaustive testing, BIST, cellular automata INTRODUCTION æ WITH the maturity of VLSI technology, the complexity of circuits housed on a silicon floor is growing significantly Unfortunately, test technology has failed to match this growth in circuit complexity Consequently, improvements in testing schemes are constantly sought With this goal in mind, this paper proposes an on-chip test generation scheme that reduces the test overhead significantly For complex circuits with a large number of inputs, pseudoexhaustive testing has been found to be suitable for many cases where each of the outputs depends only on a subset of the inputs [] This results in much smaller test size compared to the exhaustive test size of n for n-input circuit under test (CUT) Several schemes for generation of n-bit patterns with all m bits m <n exhaustively covered have been studied in [], [3], [], [] Schemes based on linear cyclic codes [3], [], [], constant weight vectors [], iterative procedure [], etc, have been reported Implementation of a pseudoexhaustive testing scheme based on punctured cyclic codes has been studied in [] and schemes for generation of exhaustive test patterns on one or several subsets of cell positions of a long shift register have been reported in [8] In most of the above approaches, the goal is to generate exhaustive patterns in all possible combinations of m cell positions m <n of the n-bit LFSR For achieving this capability, the test size inevitably becomes at least double m, except for constant weight vector generation scheme [], which accomplishes the task with lowest test length but higher implementation cost Das and Chaudhuri [9] reported a Cellular Automata (CA) based scheme for pseudoexhaustive test pattern generation which exploits the cyclic decomposition of total vector space generated by a group P Dasgupta is with the Variable Energy Cyclotron Centre, /AF, Bidhan Nagar, Calcutta-, India pdgupta@hotmailcom S Chattopadhyay is with the Department of Computer Science and Engineering, Indian Institute of Technology, Guwahati, Guwahati-8, India c_santanu@posstmarknet PP Chaudhuri is with the Department of Computer Science and Technology, Bengal Engineering College (Deemed University), Howrah- 3, India ppc@becsacin I Sengupta is with the Department of Computer Science and Engineering, Indian Institute of Technology, Kharagpur, Kharagpur-3, India isg@cseiitkgpernetin Manuscript received June 99; revised June 999; accepted 3 Apr For information on obtaining reprints of this article, please send to: tc@computerorg, and reference IEEECS Log Number 8 Fig Contiguous -bit subspaces CA But, this may require more than i time-steps for generating i-bit pseudoexhaustive patterns Moreover, pseudoexhaustive testing of building blocks of certain types of circuits, such as digital signal processing systems, data-path architectures, and embedded memories, requires a special type of pattern set Here, an n-bit space is said to be pseudoexhaustively covered if, for all (n k ) contiguous k-bit subspaces, each of the possible k patterns occur at least once Pseudoexhaustive (RPE) Such testing schemes are known as Recursive testing schemes The RPE test pattern set for -bit test vectors with -bit pseudoexhaustive covering is given below Fig illustrates the case of recursive pseudoexhaustive pattern generation for n ˆ, k ˆ Such a type of recursive pseudoexhaustive (RPE) test pattern generation cannot be handled with the CA-based schemes proposed in [9] Section briefly introduces the theory of RPE test pattern generation Section 3 builds the analytical basis of the RPE test pattern generation scheme using cellular automata The implementation details are noted in Section RECURSIVE PSEUDOEXHAUSTIVE (RPE) TEST PATTERN GENERATION A set of n-bit test patterns is said to be recursive pseudoexhaustive if any subset of k contiguous patterns of it exhaustively covers all possible k-bit subspaces For example, Table provides the list of RPE test vectors for string length n ˆ and -bit (k ˆ ) contiguous subspace So, the first 3 (ˆ ) vectors cover all -bit subspaces exhaustively First, two test vectors cover all -bit subspaces, first vectors cover all -bit subspaces, first 8 vectors cover all 3-bit subspaces, exhaustively, and so on RPE test pattern generation based on characteristic function was elaborated in [] In the following, we briefly introduce the RPE test pattern generation scheme presented in [] Let P be an ordered set of k binary strings of k-bit each, such that all i-bit contiguous subspaces ( i<k ) are covered exhaustively in consecutive i steps Let P be described by the characteristic function f k x x x k, which equals if vector x ;x ; ::::x k ŠP and zero otherwise So, f k x x x k describes the complementary set P such that P [ P exhaustively covers the k-bit space Now, if a new kth bit is appended at the most significant position of each vector of set P, then all new i-subspaces comprising this bit x k are exhaustively covered if the characteristic function f k x x x k is true (ˆ ) for each such vectors Hence, for such an extended set P e, the characteristic 8-93//$ ß IEEE

2 8 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY TABLE Test Vectors for n ˆ and k ˆ function which features exhaustive coverage of all i-bit ( i<k ) contiguous subspaces is: f k x x x k f k x x x k Similarly, the characteristic function for the set P e is: f k x x x k f k x x x k Now, P e [ P e features exhaustive coverage of contiguous subspaces upto size k and is described by the characteristic function: f k x x x k ˆf k x x x k f k x x x k f k x x x k f k x x x k ) f k x x x k ˆf k x x x k f k x x x k : Starting with f x ˆx, one can recursively generate successive characteristic functions as: f x x ˆf x f x ˆx x ˆ x x f x x x ˆf x x f x x ˆx x f 3 x x x x 3 ˆf x x x f x x x 3 ˆx x x x 3 f x x x x 3 x ˆf 3 x x x x 3 f 3 x x x 3 x ˆx x : It has been shown in [] that if there are two vectors v and v such that f k v ˆf k v, then f k v v ˆ So, to generate patterns satisfying f k x x x k, one can take any vector v such that f k v ˆ and perform bitwise XOR of the vector v with successive v i s for which f k v i ˆ For generating patterns of string length n and k-bit contiguous subspace, one can follow the same method; starting with the all-zero vector, already existing binary patterns are inverted employing successive characteristic functions The number of k-bit contiguous subspaces is n k and some of them will overlap So, the inversion vector should be product of respective characteristic functions; this is defined as inversion mask for generating test vectors (length ˆ n) of k-bit contiguous subspaces, and is denoted by

3 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY 9 Fig Structure of the inversion masks m n k x x x n ˆ n k iˆ f k x x i k : Test patterns can be generated by inverting with each of the so-far generated test vectors of k -bit contiguous subspaces Theorem Given the characteristic function f p, any corresponding inversion mask features s on bit position i which satisfy the relation p ^ i ˆ p [] The resultant structures of the inversion masks are shown in Fig Starting with the all-zero test pattern vector v, the successive test patterns can be recursively generated as follows: 3 CELLULAR AUTOMATA CHARACTERISTICS Cellular Automata (CA) consists of a number of interconnected cells arranged spatially in a regular manner [], [] Here, we consider simple -state per cell 3-neighborhood CA with cells arrayed linearly in one dimension The output q i of the ith cell depends on itself and its left and right neighbor at the most, given by q i t ˆf q i t ;q i t ;q i t

4 8 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY Fig 3 Mask patterns generated by CA for n ˆ 8, denotes inversion Function f is XOR function for linear CA If the next state function of a cell is expressed in the form of a truth table, then the decimal equivalent of the output column in the truth table is conventionally called the rule number for the cell [] Upon minimization, the truth tables for rules and result in the following logic functions: rule : q i t ˆq i t q i t left & self dependencyš rule : q i t ˆq i t self dependencyš: In total, there are seven (, 9,,,,, ) linear rules with XOR logic [3] If f t is the column vector representing the state of the automata at the tth instant of time, then next state for a linear CA is f t ˆ T f t, where T is the characteristic matrix of the CA;

5 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY 8 Fig A -cell - CA: (a) structure, (b) characteristic matrix, (c) state-transition graph T i; j ˆ iff output of the ith cell depends on the jth cell and zero otherwise A CA is called a Group CA if its characteristic matrix T is nonsingular State transition graph of such a CA (as shown in Fig ) consists of a set of cycles If T is singular, then the CA is called Nongroup CA State transition graph of such a CA contains some tree-like structures, apart from the cycles Theory and many applications of Additive Cellular Automata have been presented in [] Group CA has been extensively studied in [9], [3], [], [], [], [8] Many applications have also been reportedðpseudorandom [3] and pseudoexhaustive pattern generation [9], signature analysis [], [9], delay-fault testing [], error-correcting codes [], [], cryptography [3], and synthesis of testable FSM [] In the following section, we enumerate the properties of CA that enables it to generate the mask patterns 3 CA Characteristics for Mask Generation Let us consider an unrestricted neighborhood linear CA of characteristic matrix T c, where the lower triangle has all s and upper triangle all zeros as shown below 3 : Then, starting with an input string of all s, such a CA will directly generate the successive mask patterns, as shown in Fig 3 However, for the n-bit test vector string, this will require n = XOR gates with inputs (fan in) up to n Interestingly, the inverse of this CA, with characteristic matrix T x ˆ Tc is restricted within the 3-neighborhood and requires only -input XOR-gates T x ˆ T c 3 ˆ 3 ˆ : This CA can be realized with - structure having the first cell governed by rule and the rest by rule ; Fig shows such a four-cell CA with its characteristic matrix and transition graph The following theorems and lemmas give the characterization and prove that this CA will generate mask patterns in reverse order Lemma If T is the characteristic matrix of an n-cell - CA, then the jth row (j ˆ ; ; 3; ;n)oft i i ˆ ; ; ;n will have at columns j and j i only Proof The characteristic matrix of a - CA is:

6 8 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY Fig Pseudoexhaustive pattern generation in contiguous p-bit spaces 3 3 T ˆ ˆ 3 ˆ I nn T : Hence, T ˆ I T ˆ I T i Let T ˆ I T i be valid for some i Now, T i ˆ T i T i ˆ IT i i i IT ˆIT Thus, by induction, T m ˆ IT m, for all m> From the construction of T, it follows immediately that, in T k, the jth row will have a at column j k only All the remaining entries are zero Hence, in T i, the jth row will have at column j i only On XORing with the identity matrix, we will have another at the jth column Hence, the proof tu Theorem The period of an n-cell - CA is m such that m <n< m Proof As per Lemma, T m ˆ IT m Since m n, T m ˆ So, T m ˆ I Thus, the period of T is at most m To show the period is no less than m, let us assume the contrary, that is, the period of T is k, where k<m Now, T k ˆ I T k ˆ IT k In each multiplication of the T matrix, the lower diagonal gets shifted further lower by -position Hence, it requires at least n such steps for T n to be Since k<m, T k cannot be the all zero matrix Thus, T ˆ I Hence, a contradiction tu Since the period of the CA is m, the cycle-lengths are factors of m only [] The next theorem finds the length of the cycle on which the state < ::: > lies The states on this cycle generate the masks patterns Theorem 3 In the state-transition graph of an n-cell - CA, the state < ::: > lies on a cycle of length m such that m <n< m Proof From Theorem, the state < ::: > can lie on a cycle of length at most m (since the period of CA is m ) If possible, let the state lie on a cycle of length l, where l<m Then, T l l ˆ IT ˆ : Multiplication with indentity matrix results in a column vector with first row ªº and the rest all s As proven before, matrix T l will have a ªº at the first column of the l th row On its multiplication, a column vector will result with ªº in the l th row, with the rest of the entries all s So, xoring of these two cannot result in a zero column vector Hence, a contradiction tu The next theorem establishes the capability of the - CA to generate the masks for the recursive pseudoexhaustive pattern generation

7 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY 83 TABLE CA-Based RPE Test Pattern Generation (p ˆ, k ˆ ) Theorem An n-cell - CA seeded with < ::::: > as initial pattern generates the ith mask pattern in the m i th cycle, where m <n< m Proof Let T be the characteristic matrix of the CA, then, as shown in Lemma, it can be decomposed into two matrices I and T For any i, if its binary expression is b k b k b b, where, for all js, b j f; g; let S denote the set consisting of all nonzero b j sof the expression Then, T i ˆ IT i ˆ IT bkk IT bk k IT b : For any k, if b k ˆ, then IT bkk ˆ I, else if b k ˆ, then IT bkk ˆ IT k ˆ IT k Hence, IT k can be replacd by Ib k T k So, T i ˆ I b k T k I b k T k I b T I b T ˆ I b T b T b b T b T b b T b b T b b b T b k T k : 3 In (3), T l will be present iff S l S i Since m <n< m,so the binary expression of n-bit CA requires m number of bits to represent all the states of the CA lying on this cycle; so, if (3) of T i contains T l, then S l S i, ie, l ^ m i ˆ m i Now, with reference to Fig, if we generate the masks in reverse order, starting from the vector < >, then

8 8 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY TABLE 3 Comparision of Overhead in XOR Gates 3 T l ˆ I b T b T b b T b T b b T 3 b b T b b b T b k T k : From the construction of T, it immediately follows that, in T k, the jth row will have ªº at the column j k only; the rest entries are all zeros So, T k: :::Št will have ªº only in the kth bit of the resultant column, all the rest of the entries are zeros Hence, on xoring the columns of (), we get the resultant column vector in which all such lth rows will be ªº and the rest are zeros, where S l S i (that is, iff T l is present in the expression of T i ) So, T i ::Š t will generate a mask vector which will have ªº in all such lth bits, where l ^ m i ˆ m i, with the rest of the entries zero Referring to Theorem and Fig, we see that this is precisely the ith mask pattern (filled dots are considered ªº and the others zero) Hence, the proof tu CABASED IMPLEMENTATION SCHEME The basic scheme of CA based RPE pattern generation for p-bit contiguous case is shown in Fig The length of pattern vector and, hence, the number of CA cells is n, where p <k p and n k Here, CA generates the masks, Counter/shifter keeps the number of test patterns (ˆ k) to be generated, Register outputs the test patterns sequentially The hardware generates the RPE test patterns as per the set of steps described by the following scheme: Initialize Counter to zeros While k patterns are not generated do steps 3 through 9 3 Initialize CA to < ::: > vector, Register to zero Increment Counter/Shifter by Do steps to 8 while CA has not run p cycles Shift left the Counter If load = ªº then Register Register CA content 8 Send a clock pulse to CA

9 IEEE TRANSACTIONS ON COMPUTERS, VOL, NO, FEBRUARY 8 9 Output test pattern from the Register End An example of pattern generation as per the aforesaid scheme is given in Table Each pattern will be generated after p clock cycles It is optimal in the sense that it covers exhaustively every block of p adjacent bits in the first p pattern vectors This scheme uses a modular cascadable CA with only local interconnections between the cells for parallel generation of test vectors The registers can be realized using data path registers of the computer and does not require any additional cost; the actual overhead is only the number of extra XOR gates present in CA based scheme This, for a p cell CA, will require p plus k XOR gates, that is, p XOR gates Table 3 gives a comparison of hardware overhead in this approach and that in [], for parallel generation of test vectors Comparatively, the CAbased scheme requires to percent less XOR gates than the scheme in [] as test string length increases from to 3 CONCLUSIONS The method proposed in this paper uses Cellular Automata to generate recursive pseudoexhaustive test patterns The proposed CA-based RPE hardware is very useful for built-in self-test of classes of circuits such as digital signal processing systems, datapath architecture, and embedded memories The modular, regular, and cascadable structure of CA makes it highly suitable for VLSI implementation The hardware requirement of the proposed scheme is to percent less compared to the existing reported methods [] and time complexity of generation is linear with respect to test vector set size REFERENCES [] EJ McClusky, ªVerification TestingÐA Pseudoexhaustive Test Technique,º IEEE Trans Computers, vol 33, no, pp -, June 98 [] D Tang and L Woo, ªExhaustive Test Pattern Generation with Constant Weight Vector,º IEEE Trans Computers, vol 3, no, pp -, Dec 983 [3] L Wang and E McClusky, ªCondensed Linear Feedback Shift Register (LFSR) TestingÐA Pseudo-Exhaustive Test Technique,º IEEE Trans Computers, vol 3, no, pp 3-3, Apr 98 [] D Wang and C Chen, ªLogic Test Pattern Generation Using Linear Codes,º IEEE Trans Computers, vol 33, no 9, pp 8-8, Sept 98 [] L Wang and E McClusky, ªCircuits for Pseudo-Exhaustive Test Pattern Generation,º IEEE Trans Computer-Aided Design, vol, pp 8-8, Oct 988 [] D Wang and C Chen, ªIterative Exhaustive Pattern Generation for Logic Testing,º IBM J Research Development, vol 8, pp -9, Mar 98 [] C Chen, ªExhaustive Test Pattern Generation Using Cyclic Codes,º IEEE Trans Computers, vol 3, no, pp -8, Feb 988 [8] Z Barzilai, D Copersmith, and A Rosenburg, ªExhaustive Generation of Bit Patterns with Application to VLSI Testing,º IEEE Trans Computers, vol 3, no, pp 9-9, Feb 983 [9] AK Das and PP Chaudhuri, ªVector Space Theoretic Analysis of Additive Cellular Automata and Its Applications for Pseudo-Exhaustive Test Pattern Generation,º IEEE Trans Computers, vol, no 3, pp 3-3, Mar 993 [] J Rajski and J Tyszer, ªRecursive Pseudo-Exhaustive Test Pattern Generation,º IEEE Trans Computers, vol, no, pp -, Dec 993 [] JV Neuman, The Theory of Self-Reproducing Automata, AW Burks, ed Urbana, Ill and London: Univ of Illinois Press, 9 [] S Wolfram, ªStatistical Mechanics of Cellular Automata,º Review of Modern Physics, vol, pp -, July 983 [3] PD Hortensius et al, ªCellular Automata Based Pseudo-Random Number Generators for Built-In Self-Test,º IEEE Trans Computer-Aided Design, vol 8, pp 8-89, Aug 989 [] PP Chaudhuri, DR Chowdhury, S Nandi, and S Chattopadhyay, Additive Cellular Automata Theory and Applications: Volume IEEE CS Press, 99 [] PD Hortensius, HC Card, RD McLeod, and W Pries, ªImportance Sampling for Ising Computers Using One-Dimensional Cellular Automata,º IEEE Trans Computers, vol 38, no, pp 9-, June 989 [] PD Hortensius et al, ªCellular Automata Circuits for Built-In Self Test,º IBM J Research and Development, vol 3, March/May 99 [] PD Hortensius, RD McLeod, and HC Card, ªCellular Automata Based Signature Analysis for Built-In Self-Test,º IEEE Trans Computers, vol 39, no, pp 3-83, Oct 99 [8] O Martin, AM Odlyzko, and S Wolfram, ªAlgebraic Properties of Cellular Automata,º Comm Math Physics, vol 93, pp 9-8, 98 [9] M Serra, T Slater, JC Muzio, and DM Miller, ªAnalysis of One Dimensional Cellular Automata and Their Aliasing Probabilities,º IEEE Trans Computer-Aided Design, vol 9, pp -8, July 99 [] S Nandi, B Vamsi, S Chakraborty, S Roy, and PP Chaudhuri, ªDelay Fault Test Generation with Cellular Automata,º Proc Sixth Int'l Conf VLSI Design, pp 8-8, Jan 993 [] DR Chowdhury, S Basu, IS Gupta, and PP Chaudhuri, ªDesign of CAECCÐCellular Automata Based Error Correcting Code,º IEEE Trans Computers, vol 3, no, pp 9-, June 99 [] K Sasidhar, S Chattopadhyay, and PP Chaudhuri, ªCAA Decoder for Cellular Automata Based Byte Error Correcting Code,º IEEE Trans Computers, vol, no 9, pp 3-, Sept 99 [3] S Nandi, B K Kar, and PP Chaudhuri, ªTheory and Application of Cellular Automata in Cryptography,º IEEE Trans Computers, vol 3, no, Dec 99 [] D Chowdhury, S Chakraborty, B Vamsi, and P Chaudhuri, ªCellular- Automata Based Synthesis of Easily and Fully Testable FSMs,º Proc Int'l Conf Computer-Aided Design '93, pp -3, Nov 993

Optimization of 1D and 2D Cellular Automata for Pseudo Random Number Generator.

Optimization of 1D and 2D Cellular Automata for Pseudo Random Number Generator. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 6, Ver. I (Nov - Dec. 2014), PP 28-33 e-issn: 2319 4200, p-issn No. : 2319 4197 Optimization of 1D and 2D Cellular Automata for Pseudo

More information

Theory of Additive Cellular Automata

Theory of Additive Cellular Automata Fundamenta Informaticae XXI (200) 00 02 00 IOS Press Theory of Additive Cellular Automata Niloy Ganguly Department of Computer Science and Engineering, Indian Institute of Technology, Waragpur, India Biplab

More information

An Explicit Similarity Transform between Cellular Automata and LFSR Matrices*

An Explicit Similarity Transform between Cellular Automata and LFSR Matrices* FINITE FIELDS AND THEIR APPLICATIONS 4, 239 251 (1998) ARTICLE NO FF980212 An Explicit Similarity Transform between Cellular Automata and LFSR Matrices* Kevin Cattell and Jon C Muzio Department of Computer

More information

Designing Cellular Automata Structures using Quantum-dot Cellular Automata

Designing Cellular Automata Structures using Quantum-dot Cellular Automata Designing Cellular Automata Structures using Quantum-dot Cellular Automata Mayur Bubna, Subhra Mazumdar, Sudip Roy and Rajib Mall Department of Computer Sc. & Engineering Indian Institute of Technology,

More information

Logic BIST. Sungho Kang Yonsei University

Logic BIST. Sungho Kang Yonsei University Logic BIST Sungho Kang Yonsei University Outline Introduction Basics Issues Weighted Random Pattern Generation BIST Architectures Deterministic BIST Conclusion 2 Built In Self Test Test/ Normal Input Pattern

More information

One-Dimensional Linear Hybrid Cellular Automata: Their Synthesis, Properties and Applications to Digital Circuits Testing

One-Dimensional Linear Hybrid Cellular Automata: Their Synthesis, Properties and Applications to Digital Circuits Testing One-Dimensional Linear Hybrid Cellular Automata: Their Synthesis, Properties and Applications to Digital Circuits Testing M. Serra, K. Cattell, S. Zhang, J.C. Muzio, D.M. Miller Dept. of Computer Science

More information

Inadmissible Class of Boolean Functions under Stuck-at Faults

Inadmissible Class of Boolean Functions under Stuck-at Faults Inadmissible Class of Boolean Functions under Stuck-at Faults Debesh K. Das 1, Debabani Chowdhury 1, Bhargab B. Bhattacharya 2, Tsutomu Sasao 3 1 Computer Sc. & Engg. Dept., Jadavpur University, Kolkata

More information

ECE 512 Digital System Testing and Design for Testability. Model Solutions for Assignment #3

ECE 512 Digital System Testing and Design for Testability. Model Solutions for Assignment #3 ECE 512 Digital System Testing and Design for Testability Model Solutions for Assignment #3 14.1) In a fault-free instance of the circuit in Fig. 14.15, holding the input low for two clock cycles should

More information

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Test Pattern Generator for Built-in Self-Test using Spectral Methods Test Pattern Generator for Built-in Self-Test using Spectral Methods Alok S. Doshi and Anand S. Mudlapur Auburn University 2 Dept. of Electrical and Computer Engineering, Auburn, AL, USA doshias,anand@auburn.edu

More information

Counting Two-State Transition-Tour Sequences

Counting Two-State Transition-Tour Sequences Counting Two-State Transition-Tour Sequences Nirmal R. Saxena & Edward J. McCluskey Center for Reliable Computing, ERL 460 Department of Electrical Engineering, Stanford University, Stanford, CA 94305

More information

Brief Contributions. Easily Testable Multiple-Valued Logic Circuits Derived from Reed-Muller Circuits 1 INTRODUCTION 2 PRELIMINARIES

Brief Contributions. Easily Testable Multiple-Valued Logic Circuits Derived from Reed-Muller Circuits 1 INTRODUCTION 2 PRELIMINARIES IEEE TRANSACTIONS ON COMPUTERS, VOL. 49, NO. 11, NOVEMBER 2000 1285 Brief Contributions Easily Testable Multiple-Valued Logic Circuits Derived from Reed-Muller Circuits E.V. Dubrova, Member, IEEE, and

More information

Systematic Design of Original and Modified Mastrovito Multipliers for General Irreducible Polynomials

Systematic Design of Original and Modified Mastrovito Multipliers for General Irreducible Polynomials 4 IEEE TRANSACTIONS ON COMPUTERS, VOL 50, NO, JULY 001 Systematic Design of Original and Modified Mastrovito Multipliers for General Irreducible Polynomials Tong Zhang, Student Member, IEEE, and Keshab

More information

Built-In Test Generation for Synchronous Sequential Circuits

Built-In Test Generation for Synchronous Sequential Circuits Built-In Test Generation for Synchronous Sequential Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract We consider

More information

FPGA Implementation of Neighborhood-of-Four Cellular Automata Random Number Generators

FPGA Implementation of Neighborhood-of-Four Cellular Automata Random Number Generators FPGA Implementation of Neighborhood-of-Four Cellular Automata Random Number Generators Barry Shackleford, Motoo Tanaka, Richard J. Carter, Greg Snider HP Laboratories Palo Alto HPL-00-0 November th, 00

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Final Examination CLOSED BOOK Kewal K. Saluja Date:

More information

WITH increasing complexity in systems design from increased

WITH increasing complexity in systems design from increased 150 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 51, NO. 1, FEBRUARY 2001 Data Compression in Space Under Generalized Mergeability Based on Concepts of Cover Table and Frequency Ordering

More information

Relating Entropy Theory to Test Data Compression

Relating Entropy Theory to Test Data Compression Relating Entropy Theory to Test Data Compression Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 7872 Email: {kjbala, touba}@ece.utexas.edu

More information

BINARY TO GRAY CODE CONVERTER IMPLEMENTATION USING QCA

BINARY TO GRAY CODE CONVERTER IMPLEMENTATION USING QCA BINARY TO GRAY CODE CONVERTER IMPLEMENTATION USING QCA Neha Guleria Department of Electronics and Communication Uttarakhand Technical University Dehradun, India Abstract Quantum dot Cellular Automata (QCA)

More information

Linear Finite State Machines 1. X. Sun E. Kontopidi M. Serra J. Muzio. Abstract

Linear Finite State Machines 1. X. Sun E. Kontopidi M. Serra J. Muzio. Abstract The Concatenation and Partitioning of Linear Finite State Machines 1 X. Sun E. Kontopidi M. Serra J. Muzio Dept. of Electrical Engineering University of Alberta Edmonton, AB T6G 2G7 Dept. of Comp. Science

More information

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays EEE TRANSACTONS ON COMPUTERS, VOL. C-30, NO. 11, NOVEMBER 1981 A Hardware Approach to Self-Testing of Large Programmable Logic Arrays 829 WLFRED DAEHN AND JOACHM MUCHA, MEMBER, EEE Abstract-A hardware

More information

EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs)

EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) EECS150 - igital esign Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs) Nov 21, 2002 John Wawrzynek Fall 2002 EECS150 Lec26-ECC Page 1 Outline Error detection using parity Hamming

More information

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder

Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder Design of Arithmetic Logic Unit (ALU) using Modified QCA Adder M.S.Navya Deepthi M.Tech (VLSI), Department of ECE, BVC College of Engineering, Rajahmundry. Abstract: Quantum cellular automata (QCA) is

More information

Probabilistic Analysis of Cellular Automata Rules and its Application in Pseudo Random Pattern Generation

Probabilistic Analysis of Cellular Automata Rules and its Application in Pseudo Random Pattern Generation Probabilistic Analysis of Cellular Automata Rules and its Application in Pseudo Random Pattern Generation Abhishek Seth, S. Bandyopadhyay, U. Maulik. Abstract The present work is an extension of the work

More information

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Uppoju Shiva Jyothi M.Tech (ES & VLSI Design), Malla Reddy Engineering College For Women, Secunderabad. Abstract: Quantum cellular automata

More information

Realization of 2:4 reversible decoder and its applications

Realization of 2:4 reversible decoder and its applications Realization of 2:4 reversible decoder and its applications Neeta Pandey n66pandey@rediffmail.com Nalin Dadhich dadhich.nalin@gmail.com Mohd. Zubair Talha zubair.talha2010@gmail.com Abstract In this paper

More information

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic 2015 28th International Conference 2015 on 28th VLSI International Design and Conference 2015 14th International VLSI Design Conference on Embedded Systems A Novel Ternary Content-Addressable Memory (TCAM)

More information

Subquadratic Computational Complexity Schemes for Extended Binary Field Multiplication Using Optimal Normal Bases

Subquadratic Computational Complexity Schemes for Extended Binary Field Multiplication Using Optimal Normal Bases 1 Subquadratic Computational Complexity Schemes for Extended Binary Field Multiplication Using Optimal Normal Bases H. Fan and M. A. Hasan March 31, 2007 Abstract Based on a recently proposed Toeplitz

More information

Reversible Circuit Using Reversible Gate

Reversible Circuit Using Reversible Gate Reversible Circuit Using Reversible Gate 1Pooja Rawat, 2Vishal Ramola, 1M.Tech. Student (final year), 2Assist. Prof. 1-2VLSI Design Department 1-2Faculty of Technology, University Campus, Uttarakhand Technical

More information

A New Bit-Serial Architecture for Field Multiplication Using Polynomial Bases

A New Bit-Serial Architecture for Field Multiplication Using Polynomial Bases A New Bit-Serial Architecture for Field Multiplication Using Polynomial Bases Arash Reyhani-Masoleh Department of Electrical and Computer Engineering The University of Western Ontario London, Ontario,

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 54 Design for Testability So, in the last lecture we talked

More information

A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER. Jesus Garcia and Michael J. Schulte

A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER. Jesus Garcia and Michael J. Schulte A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER Jesus Garcia and Michael J. Schulte Lehigh University Department of Computer Science and Engineering Bethlehem, PA 15 ABSTRACT Galois field arithmetic

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 18 Error Coding. In the real world. Our beautiful digital world.

Outline. EECS Components and Design Techniques for Digital Systems. Lec 18 Error Coding. In the real world. Our beautiful digital world. Outline EECS 150 - Components and esign Techniques for igital Systems Lec 18 Error Coding Errors and error models Parity and Hamming Codes (SECE) Errors in Communications LFSRs Cyclic Redundancy Check

More information

Constructing a Ternary FCSR with a Given Connection Integer

Constructing a Ternary FCSR with a Given Connection Integer Constructing a Ternary FCSR with a Given Connection Integer Lin Zhiqiang 1,2 and Pei Dingyi 1,2 1 School of Mathematics and Information Sciences, Guangzhou University, China 2 State Key Laboratory of Information

More information

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA Nikitha.S.Paulin 1, S.Abirami 2, Prabu Venkateswaran.S 3 1, 2 PG students / VLSI

More information

Cryptographically Robust Large Boolean Functions. Debdeep Mukhopadhyay CSE, IIT Kharagpur

Cryptographically Robust Large Boolean Functions. Debdeep Mukhopadhyay CSE, IIT Kharagpur Cryptographically Robust Large Boolean Functions Debdeep Mukhopadhyay CSE, IIT Kharagpur Outline of the Talk Importance of Boolean functions in Cryptography Important Cryptographic properties Proposed

More information

On Random Pattern Testability of Cryptographic VLSI Cores

On Random Pattern Testability of Cryptographic VLSI Cores On Random Pattern Testability of Cryptographic VLSI Cores A. Schubert, W. Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik (ITEM) University of Bremen P.O. Box 33 04 40, D-28334 Bremen

More information

Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM Expressions

Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM Expressions International Journal of Research in Engineering and Science (IJRES) ISSN (Online): 2320-9364, ISSN (Print): 2320-9356 Volume 3 Issue 11 ǁ November. 2015 ǁ PP.59-67 Direct Design of Reversible Combinational

More information

DESIGN OF AREA-DELAY EFFICIENT ADDER BASED CIRCUITS IN QUANTUM DOT CELLULAR AUTOMATA

DESIGN OF AREA-DELAY EFFICIENT ADDER BASED CIRCUITS IN QUANTUM DOT CELLULAR AUTOMATA International Journal on Intelligent Electronic System, Vol.9 No.2 July 2015 1 DESIGN OF AREA-DELAY EFFICIENT ADDER BASED CIRCUITS IN QUANTUM DOT CELLULAR AUTOMATA Aruna S 1, Senthil Kumar K 2 1 PG scholar

More information

Subquadratic space complexity multiplier for a class of binary fields using Toeplitz matrix approach

Subquadratic space complexity multiplier for a class of binary fields using Toeplitz matrix approach Subquadratic space complexity multiplier for a class of binary fields using Toeplitz matrix approach M A Hasan 1 and C Negre 2 1 ECE Department and CACR, University of Waterloo, Ontario, Canada 2 Team

More information

Structured Low-Density Parity-Check Codes: Algebraic Constructions

Structured Low-Density Parity-Check Codes: Algebraic Constructions Structured Low-Density Parity-Check Codes: Algebraic Constructions Shu Lin Department of Electrical and Computer Engineering University of California, Davis Davis, California 95616 Email:shulin@ece.ucdavis.edu

More information

High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex Family

High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex Family International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 11, Issue 04 (April 2015), PP.72-77 High Speed Time Efficient Reversible ALU Based

More information

Design and Implementation of High Speed CRC Generators

Design and Implementation of High Speed CRC Generators Department of ECE, Adhiyamaan College of Engineering, Hosur, Tamilnadu, India Design and Implementation of High Speed CRC Generators ChidambarakumarS 1, Thaky Ahmed 2, UbaidullahMM 3, VenketeshK 4, JSubhash

More information

1 Introduction In [2] a method for computing the Walsh spectrum in R-encoding of a completely specified binary-valued function was presented based on

1 Introduction In [2] a method for computing the Walsh spectrum in R-encoding of a completely specified binary-valued function was presented based on Computation of Discrete Function Chrestenson Spectrum Using Cayley Color Graphs Λ Mitchell A. Thornton Southern Methodist University Dallas, Texas mitch@engr.smu.edu D. Michael Miller University of Victoria

More information

Analysis And Design Of Priority Encoder Circuit Using Quantum Dot Cellular Automata

Analysis And Design Of Priority Encoder Circuit Using Quantum Dot Cellular Automata Analysis And Design Of Priority Encoder Circuit Using Quantum Dot Cellular Automata P. Ilanchezhian Associate Professor, Department of IT, Sona College of Technology, Salem Dr. R. M. S. Parvathi Principal,

More information

ECE 341. Lecture # 3

ECE 341. Lecture # 3 ECE 341 Lecture # 3 Instructor: Zeshan Chishti zeshan@ece.pdx.edu October 7, 2013 Portland State University Lecture Topics Counters Finite State Machines Decoders Multiplexers Reference: Appendix A of

More information

Cellular Automata Evolution for Pattern Recognition

Cellular Automata Evolution for Pattern Recognition Cellular Automata Evolution for Pattern Recognition Pradipta Maji Center for Soft Computing Research Indian Statistical Institute, Kolkata, 700 108, INDIA Under the supervision of Prof. P Pal Chaudhuri

More information

Error Correcting Capability of Cellular Automata Based Associative Memory

Error Correcting Capability of Cellular Automata Based Associative Memory 466 IEEE TRANSACTIONS ON SYSTEMS, MAN, AND CYBERNETICS PART A: SYSTEMS AND HUMANS, VOL. 33, NO. 4, JULY 2003 Error Correcting Capability of Cellular Automata Based Associative Memory Pradipta Maji, Niloy

More information

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters

Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Synthesis of Saturating Counters Using Traditional and Non-traditional Basic Counters Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst,

More information

Design of A Efficient Hybrid Adder Using Qca

Design of A Efficient Hybrid Adder Using Qca International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 PP30-34 Design of A Efficient Hybrid Adder Using Qca 1, Ravi chander, 2, PMurali Krishna 1, PG Scholar,

More information

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both).

Prove that if not fat and not triangle necessarily means not green then green must be fat or triangle (or both). hapter : oolean lgebra.) Definition of oolean lgebra The oolean algebra is named after George ool who developed this algebra (854) in order to analyze logical problems. n example to such problem is: Prove

More information

GF(2 m ) arithmetic: summary

GF(2 m ) arithmetic: summary GF(2 m ) arithmetic: summary EE 387, Notes 18, Handout #32 Addition/subtraction: bitwise XOR (m gates/ops) Multiplication: bit serial (shift and add) bit parallel (combinational) subfield representation

More information

BCD Adder Design using New Reversible Logic for Low Power Applications

BCD Adder Design using New Reversible Logic for Low Power Applications Indian Journal of Science and Technology, Vol 10(30), DOI: 10.17485/ijst/2017/v10i30/115514, August 2017 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 BCD Adder Design using New Reversible Logic for

More information

DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA)

DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA) DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA) Rashmi Chawla 1, Priya Yadav 2 1 Assistant Professor, 2 PG Scholar, Dept of ECE, YMCA University

More information

Low complexity bit-parallel GF (2 m ) multiplier for all-one polynomials

Low complexity bit-parallel GF (2 m ) multiplier for all-one polynomials Low complexity bit-parallel GF (2 m ) multiplier for all-one polynomials Yin Li 1, Gong-liang Chen 2, and Xiao-ning Xie 1 Xinyang local taxation bureau, Henan, China. Email:yunfeiyangli@gmail.com, 2 School

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible Logic 1 KONDADASULA VEDA NAGA SAI SRI, 2 M.

Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible Logic 1 KONDADASULA VEDA NAGA SAI SRI, 2 M. ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Circuit for Revisable Quantum Multiplier Implementation of Adders with Reversible

More information

STATISTICAL FAULT SIMULATION.

STATISTICAL FAULT SIMULATION. STATISTICAL FAULT SIMULATION. JINS DAVIS ALEXANDER, GRADUATE STUDENT, AUBURN UNIVERSITY. ABSTRACT: Fault simulation is used for the development or evaluation of manufacturing tests. However with the increase

More information

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng.

More information

Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate

Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate Basic Logic Gate Realization using Quantum Dot Cellular Automata based Reversible Universal Gate Saroj Kumar Chandra Department Of Computer Science & Engineering, Chouksey Engineering College, Bilaspur

More information

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications

A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications A Novel Low Power 1-bit Full Adder with CMOS Transmission-gate Architecture for Portable Applications M. C. Parameshwara 1,K.S.Shashidhara 2 and H. C. Srinivasaiah 3 1 Department of Electronics and Communication

More information

15.1 Elimination of Redundant States

15.1 Elimination of Redundant States 15.1 Elimination of Redundant States In Ch. 14 we tried not to have unnecessary states What if we have extra states in the state graph/table? Complete the table then eliminate the redundant states Chapter

More information

FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION

FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION 258 FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION Sri N.V.N.Prasanna Kumar 1, S.Bhagya Jyothi 2,G.K.S.Tejaswi 3 1 prasannakumar429@gmail.com, 2 sjyothi567@gmail.com, 3 tejaswikakatiya@gmail.com

More information

The Method of Reversible Circuits Design with One-gate Prediction

The Method of Reversible Circuits Design with One-gate Prediction INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2018, VOL. 64, NO. 4, PP. 535-540 Manuscript received April 17, 2018; revised October, 2018. DOI: 10.24425/123556 The Method of Reversible Circuits Design

More information

Digital Circuits. 1. Inputs & Outputs are quantized at two levels. 2. Binary arithmetic, only digits are 0 & 1. Position indicates power of 2.

Digital Circuits. 1. Inputs & Outputs are quantized at two levels. 2. Binary arithmetic, only digits are 0 & 1. Position indicates power of 2. Digital Circuits 1. Inputs & Outputs are quantized at two levels. 2. inary arithmetic, only digits are 0 & 1. Position indicates power of 2. 11001 = 2 4 + 2 3 + 0 + 0 +2 0 16 + 8 + 0 + 0 + 1 = 25 Digital

More information

Future Generation Computer Systems 16 (1999) Accepted 17 March Draft

Future Generation Computer Systems 16 (1999) Accepted 17 March Draft Future Generation Computer Systems 16 (1999) 291 305 Generating high-quality random numbers in parallel by cellular automata Abstract Marco Tomassini a,, Moshe Sipper b, Mosé Zolla a, Mathieu Perrenoud

More information

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs April 16, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-blocks Page 1 Cross-coupled NOR gates remember, If both R=0 & S=0, then

More information

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary EECS50 - Digital Design Lecture - Shifters & Counters February 24, 2003 John Wawrzynek Spring 2005 EECS50 - Lec-counters Page Register Summary All registers (this semester) based on Flip-flops: q 3 q 2

More information

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs. Overview Design for testability(dft) makes it possible to: Assure the detection of all faults in a circuit. Reduce the cost and time associated with test development. Reduce the execution time of performing

More information

Tribhuvan University Institute of Science and Technology 2067

Tribhuvan University Institute of Science and Technology 2067 11CSc. MTH. -2067 Tribhuvan University Institute of Science and Technology 2067 Bachelor Level/First Year/ Second Semester/ Science Full Marks: 80 Computer Science and Information Technology Pass Marks:

More information

arxiv: v2 [quant-ph] 5 Dec 2013

arxiv: v2 [quant-ph] 5 Dec 2013 Decomposition of quantum gates Chi Kwong Li and Diane Christine Pelejo Department of Mathematics, College of William and Mary, Williamsburg, VA 23187, USA E-mail: ckli@math.wm.edu, dcpelejo@gmail.com Abstract

More information

FPGA accelerated multipliers over binary composite fields constructed via low hamming weight irreducible polynomials

FPGA accelerated multipliers over binary composite fields constructed via low hamming weight irreducible polynomials FPGA accelerated multipliers over binary composite fields constructed via low hamming weight irreducible polynomials C. Shu, S. Kwon and K. Gaj Abstract: The efficient design of digit-serial multipliers

More information

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits EE40 Lec 15 Logic Synthesis and Sequential Logic Circuits Prof. Nathan Cheung 10/20/2009 Reading: Hambley Chapters 7.4-7.6 Karnaugh Maps: Read following before reading textbook http://www.facstaff.bucknell.edu/mastascu/elessonshtml/logic/logic3.html

More information

AN IMPROVED LOW LATENCY SYSTOLIC STRUCTURED GALOIS FIELD MULTIPLIER

AN IMPROVED LOW LATENCY SYSTOLIC STRUCTURED GALOIS FIELD MULTIPLIER Indian Journal of Electronics and Electrical Engineering (IJEEE) Vol.2.No.1 2014pp1-6 available at: www.goniv.com Paper Received :05-03-2014 Paper Published:28-03-2014 Paper Reviewed by: 1. John Arhter

More information

A Digit-Serial Systolic Multiplier for Finite Fields GF(2 m )

A Digit-Serial Systolic Multiplier for Finite Fields GF(2 m ) A Digit-Serial Systolic Multiplier for Finite Fields GF( m ) Chang Hoon Kim, Sang Duk Han, and Chun Pyo Hong Department of Computer and Information Engineering Taegu University 5 Naeri, Jinryang, Kyungsan,

More information

On the Complexity of Error Detection Functions for Redundant Residue Number Systems

On the Complexity of Error Detection Functions for Redundant Residue Number Systems On the Complexity of Error Detection Functions for Redundant Residue Number Systems Tsutomu Sasao 1 and Yukihiro Iguchi 2 1 Dept. of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka

More information

A Low-Error Statistical Fixed-Width Multiplier and Its Applications

A Low-Error Statistical Fixed-Width Multiplier and Its Applications A Low-Error Statistical Fixed-Width Multiplier and Its Applications Yuan-Ho Chen 1, Chih-Wen Lu 1, Hsin-Chen Chiang, Tsin-Yuan Chang, and Chin Hsia 3 1 Department of Engineering and System Science, National

More information

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value

3. Complete the following table of equivalent values. Use binary numbers with a sign bit and 7 bits for the value EGC22 Digital Logic Fundamental Additional Practice Problems. Complete the following table of equivalent values. Binary. Octal 35.77 33.23.875 29.99 27 9 64 Hexadecimal B.3 D.FD B.4C 2. Calculate the following

More information

An Algorithm for Inversion in GF(2 m ) Suitable for Implementation Using a Polynomial Multiply Instruction on GF(2)

An Algorithm for Inversion in GF(2 m ) Suitable for Implementation Using a Polynomial Multiply Instruction on GF(2) An Algorithm for Inversion in GF2 m Suitable for Implementation Using a Polynomial Multiply Instruction on GF2 Katsuki Kobayashi, Naofumi Takagi, and Kazuyoshi Takagi Department of Information Engineering,

More information

On the Analysis of Reversible Booth s Multiplier

On the Analysis of Reversible Booth s Multiplier 2015 28th International Conference 2015 on 28th VLSI International Design and Conference 2015 14th International VLSI Design Conference on Embedded Systems On the Analysis of Reversible Booth s Multiplier

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS:

PAST EXAM PAPER & MEMO N3 ABOUT THE QUESTION PAPERS: EKURHULENI TECH COLLEGE. No. 3 Mogale Square, Krugersdorp. Website: www. ekurhulenitech.co.za Email: info@ekurhulenitech.co.za TEL: 011 040 7343 CELL: 073 770 3028/060 715 4529 PAST EXAM PAPER & MEMO N3

More information

A New Multiple Weight Set Calculation Algorithm

A New Multiple Weight Set Calculation Algorithm A New Multiple Weight Set Calculation Algorithm Hong-Sik Kim Jin-kyue Lee Sungho Kang hskim@dopey.yonsei.ac.kr jklee@cowboys.yonsei.ac.kr shkang@yonsei.ac.kr Dept. of Electrical Eng. Yonsei Univ. Shinchon-dong

More information

Binary addition (1-bit) P Q Y = P + Q Comments Carry = Carry = Carry = Carry = 1 P Q

Binary addition (1-bit) P Q Y = P + Q Comments Carry = Carry = Carry = Carry = 1 P Q Digital Arithmetic In Chapter 2, we have discussed number systems such as binary, hexadecimal, decimal, and octal. We have also discussed sign representation techniques, for example, sign-bit representation

More information

Efficient random number generation on FPGA-s

Efficient random number generation on FPGA-s Proceedings of the 9 th International Conference on Applied Informatics Eger, Hungary, January 29 February 1, 2014. Vol. 1. pp. 313 320 doi: 10.14794/ICAI.9.2014.1.313 Efficient random number generation

More information

Faculty of Engineering. FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY

Faculty of Engineering. FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY 1 McGill University Faculty of Engineering DIGITAL SYSTEM DESIGN ECSE-323 FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY STUDENT NAME McGILL I.D. NUMBER Examiner: Prof. J. Clark Signature: Associate

More information

Design and Comparison of Wallace Multiplier Based on Symmetric Stacking and High speed counters

Design and Comparison of Wallace Multiplier Based on Symmetric Stacking and High speed counters International Journal of Engineering Research and Advanced Technology (IJERAT) DOI:http://dx.doi.org/10.31695/IJERAT.2018.3271 E-ISSN : 2454-6135 Volume.4, Issue 6 June -2018 Design and Comparison of Wallace

More information

Online Testable Reversible Circuits using reversible gate

Online Testable Reversible Circuits using reversible gate Online Testable Reversible Circuits using reversible gate 1Pooja Rawat, 2Vishal Ramola, 1M.Tech. Student (final year), 2Assist. Prof. 1-2VLSI Design Department 1-2Faculty of Technology, University Campus,

More information

An Implementation of an Address Generator Using Hash Memories

An Implementation of an Address Generator Using Hash Memories An Implementation of an Address Generator Using Memories Tsutomu Sasao and Munehiro Matsuura Department of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 820-8502, Japan Abstract

More information

Design of High-speed low power Reversible Logic BCD Adder Using HNG gate

Design of High-speed low power Reversible Logic BCD Adder Using HNG gate Design of High-speed low power Reversible Logic Using HNG gate A.Nageswararao Dept.of ECE, RMK engineering college Anna University, India naga.alvaru@gmail.com Prof.D.Rukmani Devi Dept.of ECE, RMK engineering

More information

Power Optimization using Reversible Gates for Booth s Multiplier

Power Optimization using Reversible Gates for Booth s Multiplier International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 11, November 2016 ISSN: 2455-3778 http://www.ijmtst.com Power Optimization using Reversible Gates for Booth s Multiplier

More information

Brief Contributions. Optimal Selective Huffman Coding for Test-Data Compression 1 INTRODUCTION 2 SELECTIVE HUFFMAN ENCODING REVIEW

Brief Contributions. Optimal Selective Huffman Coding for Test-Data Compression 1 INTRODUCTION 2 SELECTIVE HUFFMAN ENCODING REVIEW 1146 IEEE TRANSACTIONS ON COMPUTERS, VOL. 56, NO. 8, AUGUST 2007 Brief Contributions Optimal Selective Huffman Coding for Test-Data Compression Xrysovalantis Kavousianos, Member, IEEE, Emmanouil Kalligeros,

More information

Quality of Minimal Sets of Prime Implicants of Boolean Functions

Quality of Minimal Sets of Prime Implicants of Boolean Functions INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2017, VOL. 63, NO. 2, PP. 165-169 Manuscript received October 9, 2016; revised April, 2017. DOI: 10.1515/eletel-2017-0022 Quality of Minimal Sets of

More information

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov International Book Series "Information Science and Computing" 203 USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING Liudmila Cheremisinova, Dmitry Novikov Abstract. The problem of checking whether a

More information

PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS PERFORMANCE ANALYSIS OF CLA CIRCUITS USING SAL AND REVERSIBLE LOGIC GATES FOR ULTRA LOW POWER APPLICATIONS K. Prasanna Kumari 1, Mrs. N. Suneetha 2 1 PG student, VLSI, Dept of ECE, Sir C R Reddy College

More information

EECS Components and Design Techniques for Digital Systems. Lec 26 CRCs, LFSRs (and a little power)

EECS Components and Design Techniques for Digital Systems. Lec 26 CRCs, LFSRs (and a little power) EECS 150 - Components and esign Techniques for igital Systems Lec 26 CRCs, LFSRs (and a little power) avid Culler Electrical Engineering and Computer Sciences University of California, Berkeley http://www.eecs.berkeley.edu/~culler

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

Transition Faults Detection in Bit Parallel Multipliers over GF(2 m )

Transition Faults Detection in Bit Parallel Multipliers over GF(2 m ) Transition Faults Detection in Bit Parallel Multipliers over GF( m ) Hafizur Rahaman Bengal Engineering & Science University, Shibpur Howrah-73, India rahaman_h@it.becs.ac.in Jimson Mathew Computer Science

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

An Effective New CRT Based Reverse Converter for a Novel Moduli Set { 2 2n+1 1, 2 2n+1, 2 2n 1 }

An Effective New CRT Based Reverse Converter for a Novel Moduli Set { 2 2n+1 1, 2 2n+1, 2 2n 1 } An Effective New CRT Based Reverse Converter for a Novel Moduli Set +1 1, +1, 1 } Edem Kwedzo Bankas, Kazeem Alagbe Gbolagade Department of Computer Science, Faculty of Mathematical Sciences, University

More information

Implementation of Quantum dot Cellular Automata based Novel Full Adder and Full Subtractor

Implementation of Quantum dot Cellular Automata based Novel Full Adder and Full Subtractor Implementation of Quantum dot Cellular Automata based Novel Full Adder and Full Subtractor Peer Zahoor Ahmad 1, Firdous Ahmad 2, b, Syed Muzaffar Ahmad 3, Dr. Rafiq Ahmad Khan 4 1 Department of Computer

More information