A Digit-Serial Systolic Multiplier for Finite Fields GF(2 m )

Size: px
Start display at page:

Download "A Digit-Serial Systolic Multiplier for Finite Fields GF(2 m )"

Transcription

1 A Digit-Serial Systolic Multiplier for Finite Fields GF( m ) Chang Hoon Kim, Sang Duk Han, and Chun Pyo Hong Department of Computer and Information Engineering Taegu University 5 Naeri, Jinryang, Kyungsan, Kyungbuk,7-74 KOREA Abstract: In this paper, a digit-serial systolic array is proposed for computing modular multiplication A(x), B(x) mod G(x) in finite fields GF( m ) with the standard basis representation. From the multiplication algorithm in GF( m ), we obtain a new dependence graph and design an efficient digit-serial systolic multiplier. If input data come in continuously, the proposed array can produce multiplication results at a rate of one every [m/l] clock cycles, where L is the selected digit size. The analysis results show that the proposed architecture leads to a reduction of computational delay time and it has much more simple structure than existing digit-serial systolic multiplier. Furthermore, since the new architecture has the features of regularity, modularity, and unidirectional data flow, it is well suited to VLSI implementation with fault-tolerant design. Key-Words : Digit-Serial Architecture, Finite Field Multiplier, Cryptography, Systolic Array, Finite Field Arithmetic, VLSI. Introduction Finite or Galois Field(GF)( m ) have played an important role in many application areas of communication, such as error-correcting code [] and cryptography [9]. Because addition in GF( m ) is bit independent XOR operation, it can be implemented in fast and inexpensive way. On the other hand, multiplication is more complicated and expensive. Futhermore, it is the most common arithmetic operation in GF( m ). Thus, it is desirable to design hardware-efficient multiplier for GF( m ) to meet the real-time requirement with minimum hardware complexity [3]. Many approaches and architectures have been proposed to perform multiplication in GF( m ) [][3][4][5][4]. The multipliers for GF( m ) can be classified into four types: bit-parallel, bit-serial, super-serial and digit-serial architectures. Basically a bit-parallel system reaches much better throughput performance than the others, but it involves much more hardware complexity. To improve the trade-off between throughput performance and hardware complexity, digit-serial architecture have been proposed [3][7][8]. For a digit-serial system, the data words are first partitioned This work was supported by grant No from the Basic Research Program of the Korea Science & Engineering Foundation into digits of some bits each, and then processed and transmitted on a digit-by-digit basis. Suppose that the word size is m-bit, the digit size is L-bit, and N = [m/l], then bit-parallel, bit-serial and super-serial systems process the input data at a rate of m-bit, one-bit and less than one-bit per clock cycle respectively, while digit-serial system processes the input data at a rate of L-bit per clock cycle. In other words, digit-serial system will yield output results at a rate of one every N clock cycles, while bit-parallel, bit-serial and super-serial systems will yield output results at a rate of one, m and more than m clock cycles, respectively. If the digit size is chosen appropriately, a digit-serial architecture can meet the throughput requirement of a certain application with minimum hardware. In this paper, we first review the multiplication algorithm in GF( m ) and then derive a new dependence graph(dg). Based on a new DG, we propose an efficient digit-serial systolic multiplier and its architecture leads to a reduction of computational delay time and it shows more simple structure compared to Guo and Wang s architecture [3]. In addition, if the input data come in continuously, the proposed array can produce results at a rate of one per N cycles after an initial delay of 3N clock cycles, which is the same as the previous research [3]. Finally, since the new architecture has the features of

2 regularity, modularity, and unidirectional data flow, it is well suited to VLSI implementation with fault-tolerant design. The Multiplication Algorithm In this section, we first review the multiplication algorithm [][5]. Let A(x) and B(x) be two elements in GF( m ), G(x) be the primitive polynomial used to generate the field and P(x) be the result of the product A(x)B(x) mod G(x). For each polynomials, the coefficients are the binary digits and. A(x)=a m- x m- +a m- x m- + +a x +a B(x)=b m- x m- +b m- x m- + +b x +b G(x)= x m +g m- x m- +g m- x m- + +g x+g P(x)= p m- x m- +p m- x m- + +p x +p In equation (), each element is a residue mod G(x), and all arithmetic operations are performed by taking the results modulo. As described in [], P(x) can be computed recursively as follows. T (x) = () T i (x) = [T i- (x)x]mod G(x) + A(x)b m-i, where i =,,,m (3) P(x) = T m (x) (4) After m iteration, the result P(x) can be obtained. Defining T i (x) = t i,m- x m- +t i,m- x m- + +t i, x+t i, and substituting it into eqation, it can be derived that t i,k =t i-,m- g k +b m-i a k +t i-,k- for k m- with t i-,- =. () (5) (6) Based on the given algorithm, a DG can be derived as shown in Fig.. Generally, the DG consists of m m basic cells for multiplication in GF( m ). In particular, m=9 in the DG of Fig.. In addition, Fig. represents the architecture of basic cell which consisits of two -input AND gates and one 3-input XOR gate. The cells in the ith low of the array perform the operations of the ith iteration, where each basic cell computes one coefficient. The coefficients of the result P(x) emerge from the bottom low of the array after m iterations. 3 A Digit-Serial Systolic Multiplier Let L be the digit size, N=m/L be an integer, and A i s, B i s, G i s, and P i s ( i N-) be the digits of the coefficients of A(x), B(x), G(x) and P(x) respectively. Each digit consists of L bits such as the digit A i = (a il+l-, a il +L-,,a il+, a il ), and the digits B i, G i and P i are defined similarly. 3. Modification of DG and Basic Cell As the first step for construction of a new systolic array, we combine L L basic cells in Fig. into a new basic cell. Fig. 3 shows the modified DG, where L=3 and N=m/L=3, and Fig. 4 represents the modified circuits of corresponding basic cell. As described in Fig. 3, the modified DG consist of N N basic cells. In the modified DG of Fig. 3, the digits A i, G i enter the (,i)th basic cell, the B i enters the (N-i,N-)th basic cell, and the digit Pi emerges from the (N,i)th basic cell, where i N-. Although the modified DG in Fig. 3 has high regularity, it is impossible to get an one-dimensional signal flow graph (SFG) array. This is because the data flow is bi-directional horizontally in Fig. 3, the DG cannot be projected along the east direction. In other words, the (i,k)th basic cell has to get the (L-) temporary results t il-,kl-, t il-,kl-,., and t il-(l-),kl- from the right neighboring (i,k-)th basic cell. b b a 5 a 4 a 3 g 3 a g a g a g (,8) (,7) (,6) (,5) (,4) (,3) (,) (,) (,) (,8) (,7) (,6) (,5) (,4) (,3) (,) (,) (,) (3,8) (3,7) (3,6) (3,5) (3,4) (3,3) (3,) (3,) (3,) (4,8) (4,7) (4,6) (4,5) (4,4) (4,3) (4,) (4,) (4,) (5,8) (5,7) (5,6) (5,5) (5,4) (5,3) (5,) (5,) (5,) (6,8) (6,7) (6,6) (6,5) (6,4) (6,3) (6,) (6,) (6,) (7,8) (7,7) (7,6) (7,5) (7,4) (7,3) (7,) (7,) (7,) (8,8) (8,7) (8,6) (8,5) (8,4) (8,3) (8,) (8,) (8,) (9,8) (9,7) (9,6) (9,5) (9,4) (9,3) (9,) (9,) (9,) p 8 p 7 p 6 p 5 p 4 p 3 p p p Fig. Dependence graph for multiplication in GF( 9 ) [3] t i-,m- b m- t i,k a k g k t i-,k- Fig. Circuit of (i,k)th cell in Fig. [][3]

3 b b (,) (,) (,) g 3 g g g a 5 a 4 a 3 a a a (,) (,) (,) (3,) (3,) (3,) p 8 p 7 p 6 p 5 p 4 p 3 p p p Fig. 3 Modified dependence graph of Fig. with L=3 t (i-)l,m- + t il-,kl+ t il-,m- + t il-,kl+ t il-,m- t (i-)l,kl+ akl+ g kl+ a kl+ g kl+ t (i-)l,kl t il,kl+ til,kl+ t il,kl a kl g kl t (i-)l,kl- t il-,kl- t il-,kl- Fig. 4 Circuit of (i,k) basic cell in Fig. 3 [3] We solve such a problem with different way compared to Guo [3]. The principal idea of our approach is that the time for computation of XOR gates numbered by,,...,(l-) with dependency in Fig. 4 is delayed for one clock cycle. In other words, the computation of (L-) XOR gates with depenency are executed in next clock cycle. By applying this idea, we modify the DG of Fig. and the basic cell of Fig. 4 one more time. The modification procedures are summarized as follows. (i) To remove the dependency of (L-) XOR gates in Fig. 4, each rows in Fig. are shifted to right by one column except the first (upper) row. The resulting DG is shown in Fig. 5. (ii) According to Fig. 5, without changing the function of the basic cell, we can modify the structure of the basic cell of Fig. 4. The resulting structure of the basic cell is shown in Fig. 6, where denotes a -bit one-cycle delay element. This is because the computation of (L-) XOR gates with dependency are executed in next clock cycle. As described in Fig. 6, data buses nembered,,..., and (L-) are connected to the inputs of the XOR gates numbered by,,,and (L-) instead of the L- temporary results t il-,kl-, t il-,kl-,, and t il-(l-),kl-, respectively. Also, we add (L-) one bit latches due to the fact that the (L-) XOR gates with dependency have to be computed with the input data of the previous cycle. (iii) As depicted in Fig. 5, since all the data flow are unidirectional, we can make projection for an one dimensional array. By projecting the DG in Fig. 5 a7 a6 g a 5 g 3 g g g a4 a3 a a a 5 (,8) (,7) (,6) (,5) (,4) (,3) (,) (,) (,) (,8) (,7) (,6) (,5) (,4) (,3) (,) (,) (,) (3,8) (3,7) (3,6) (3,5) (3,4) (3,3) (3,) (3,) (3,) (4,8) (4,7) (4,6) (4,5) (4,4) (4,3) (4,) (4,) (4,) (5,8) (5,7) (5,6) (5,5) (5,4) (5,3) (5,) (5,) (5,) (6,8) (6,7) (6,6) (6,5) (6,4) (6,3) (6,) (6,) (6,) (7,8) (7,7) (7,6) (7,5) (7,4) (7,3) (7,) (7,) (7,) : denote boundary of computation areas : denote boundary am ong (L*L) basic cells w ith L=3 b (8,8) (8,7) (8,6) (8,5) (8,4) (8,3) (8,) (8,) (8,) b (9,8) (9,7) (9,6) (9,5) (9,4) (9,3) (9,) (9,) (9,) p 8 p 7 p 6 p 5 p 4 p 3 p p p Fig. 5 Modified dependence graph of Fig.

4 along the east direction, we finally derive the one dimensional SFG array as shown in Fig. 7. This SFG array consists of N units of identical processing element(pe), which is depicted in Fig. 8. It is controlled by a control sequence of... with length N. According to the projection, the digits A is and G is enter this array in serial form with the most siginificant digit first, while the digits of the coefficients of B(x) should reside inside the array, i.e. B i should be at one PE to be ready for execution. Since the L temporary results t il-,m-, t il-,m-,, and t (i-)l,m- must be broadcasted to all basic cells in the ith row of the DG in Fig. 5 (i.e. all the basic cells in the ith row of the DG need L temporary results for further processing), we add extra L multiplexer and L one-bit latches into each PE of the SFG array. When the control signal is in logic, the L temporary results are latched. In addition, we add extra L two-input AND gates into each PE of the SFG such that L zeros are fed into each row of the DG from the rightmost cell when the control signal is in logic. Finally, while the next new input data set come in the PE and it processes the first computation, the (L-) XOR gates with depenency have to process the last computation with the previous input data set, respectively. Thus, the inputs for p,p,...,p (L-) and b,,...,b (L-) in Fig. 8 have to be connected the inputs of the previous cycle, where a denotes the cell in Fig. and a doted line denotes boundary between the (L-) cells with dependency and the counterparts. Thus, The inputs p,p,...,p (L-) are connected to s,s,...s (L-) and the inputs b,,...,b (L-) have one bit latches, respectively. According to the modification procedure, we get the modified PE as shown in Fig. 9. As depicted in Fig. 9, the architecture of PE is much more simpler than Guo s one [3]. Fig. 6 Modified version of (i,k)th cell of Fig. 4 g a g a g a g 3 a 3 a 4 a 5 PE PE PE p 3 p 6 p p 4 p 7 p p 5 p 8 p b b Fig. 7 One-dimensional SFG array for multiplication in GF( 9 ) with L=3 p in, + + p in, p in, ctrl p' b' s s p' b' + + Fig. 8 Structure of each PE in Fig. 7 p in, + + p in, p in, ctrl p out, + + p out, p out, p out, + + p out, p out, t (i-)l,kl+ t (i-)l,kl akl+ g kl+ a kl+ g kl+ a kl g kl t (i-)l,kl- + + Fig. 9 Modified version of Fig. 8 t (i-)l,m- + t il-,kl+ ' t il-,m- + t il-,kl+ ' t il-,m- t il,kl+ til,kl+ t il,kl t il-,kl- t il-,kl- 3. Cut-Set Systolisation of SFG By applying the cut-set systolisation techniques [] to the SFG of Fig. 7, we get the digit-serial systolic multiplier depicted in Fig.. If the input data come in continuously, this array can produce results at a rate of one per N cycles after an initial delay of 3N clock cycles. The digits P is emerge from the right-hand side of the array in serial form with the most significant digit first. Also we can add extra L muliplexers and L one-bit latches into each PE so that the digits B is of the

5 coefficients of B(x) may also enter the array in serial form with most significant digit first. Finally the resulting systolic array and the corresponding PE is described in Fig. and Fig. respectively, where the data load operation of B i occurs when the control signal is in logic. g a g a g a g 3 a 3 a 4 a 5 PE PE PE p 3 p 6 p p 4 p 7 p p 5 p 8 p b b Fig. Digit-serial systolic array for multiplication in GF( 9 ) with L=3 g a g a g a g 3 a 3 a 4 a 5 b b PE PE PE p p 3 p 6 p p 4 p 7 p p 5 p 8 Fig. Modified version of Fig., where digits B is enter array in serial form p in, + + p in, p in, + p out, + + p out, p out, using the Synopsis FPGA-Express (version,-fe3.5), in which Altera s FPGA FLEX K family were assumed as target devices. After complete verification of the circuit layout, we extract net-list files from FPGA Express. As the next step, we made a functional simulation from the net-list file using the Mentographics VHDL (ChimSim). Fig. 3 shows the simulation result when the input data come in continuously, where A(x)=(x 8 + x 5 + x 4 + x, x 8 + x 7 + x 6 + x 5 + x 3 +), B(x)=(x 8 + x 6 + x 5 + x +, x 8 + x 7 + x 3 + x + x), and G(x)=(x 9 +x 4 +, x 9 +x 4 +) In this case, we feed two different data set in successively. The simulation results show that P(x) is given as (x 8 + x 5 +, x 7 + x 6 +), which is the same as the analytical solution. In addition, the output comes out at a rate of every 3 cycles after an initial delay of 9 clock cycles. More generally, the output will come out at a rate of every N cycles after an initial delay of 3N clock cycles. In case of larger m (up to 8) with various L, we obtained the same result that the proposed multiplier work in correctly with the same processing rate described in above. Based on the simulation result, we compared the performance of the proposed multiplier with the previous research [3]. Table summarizes the performance comparison results, in which we assume that 3-input XOR gate and 4-input XOR gate are constructed using two and three -input XOR gates respectively [3]. As described in Table, in the proposed systolic multiplier, as a whole, the computation delay time is reduced as much as 3N(T XOR ). In addition, the number of gates is reduced as much as [{N(L-)-}Latchs + (L-)(XOR+AND)], and the number of data bus is also reduced as much as (L-) compared to the Guo s one [3]. This results leads to the conclusion that the proposed digit-serial multiplier gives a reduction in computational delay time with a more simple hardware circuit. + ctrl Fig. Structure of each PE in Fig. 4 Performance Analysis To make performance analysis, the multiplier depicted in Fig. was modeled in VHDL and was synthesized 5 Conclusions In this paper, we proposed an efficient digit-serial systolic multiplier for GF( m ). From the given algorithm and DG, we first obtained a new DG through two steps of modification. In addition, by applying the cut-set systolisation techniques, we finally constructed the SFG and the PE for digit-serial multiplication. Based on the constructed array, we made simulation in various way. The simulation results show that the proposed architecture leads to a reduction of computational

6 Item Circuit Requirement One PE Complexity Fig. 3 Simulation result Table. Comparison of two digit-serial systolic multipliers for GF( m ) Circuit Guo s Multiplier [3] Proposed Multiplier N L- L- L +L L- L -L+ L- L L PE XOR AND AND XOR XOR3 XOR4 bit Latch N L +L 9L+ L Latency (cycles) 3N 3N L PE bit Latch AND XOR3 bit Latch Maximum T AND + T XOR4 + (L-)T + L(T AND + T XOR3 ) Propagation delay (L-)(T AND + T XOR3 + T ) Number of control signals delay time with more simple hardware structure, compared to the Guo s multiplier [3]. In particular, the structure of each PE is much more simpler than the Guo s one [3]. In addition, since the proposed multiplier shows unidirectional data flow, and is highly regular and modular, it is well suited to VLSI implementation. As described in this paper, the digit-serial multiplier is faster than the bit-serial one, and has lower hardware complexity than the bit-parallel one. In addition, in the digit-serial multiplier architecture, we can make trade-off between throughput performance and hardware complexity. Thus, by choosing the digit size appropriately, a digit-serial architecture can meet the throughput requirement with minimum hardware complexity. References: [] R. E. Blahut, Theory and Practice of Error Control Codes, MA: Addison-Wesley, 983. [] C. L. Wang and J. L. Lin, Systolic Array Implementation of Multipliers for Finite Field GF( m ), IEEE Trans. on Circuits and Syst, Vol. 38, No. 7, pp , July. 99. [3] J. H. Guo and C. L. Wang, Digit-Serial Systolic Multiplier for Finite Field GF( m ), IEE Proc.-Comput. Digit. Tech., Vol. 45, No., pp , March [4] L. Song and K. K. Parhi, Efficient Finite Field Serial/Parallel Multiplication. Proc. Int. Conf.

7 Application Specific Syst. Architectures and Processors, Chicago, IL, pp. 7-8, Aug [5] S. K. Jain, L. Song, and K. K. Parhi, Efficient Semi-Systolic Architectures for Finite Field Arithmetic. IEEE Trans. on VLSI System, Vol. 6, No., pp. -3, March [6] S. Y. Kung, VLSI Array Processors, Englewood Cliffs, NJ: Prentice Hall, 988. [7] R. Hartley and P.F. Corbett, Digit-Serial Processing Techniques, IEEE Trans. CAS-37, Vol. 37, No. 6, pp , June. 99. [8] K. K. Parhi, Systematic Approach for Design of Digit-Serial Signal Processing Architectures, IEEE Trans., CAS-38, (4), pp , April. 99. [9] B. Schneier, Applied Cryptography. Wiley, 996. [] S.Y. Kung, On Supercomputing with Systolic/ Wavefront Array Processors, IEEE Proceedings, Vol. 7, No.7, pp , July [] J. A. Peter, The Designer s Guide to VHDL, Morgan Kaufmann, 996. [] W. F. Lee, VHDL Coding and Logic Synthesis with Synopsis, Academic Press,. [3] N. Weste and K. Eshraghian, Principles of CMOS VLSI Design: A System Perspective, MA, Addison-Wesley, 985. [4] G. Orlando and C. Paar, A Super-Serial Galois Fields Multiplier for FPGAs and its Application to Public-Key Algorithms, Proc. of the 7 Annual IEEE Symposium on Field-Programmable Computing Machines, pp. 3-39, 999.

AN IMPROVED LOW LATENCY SYSTOLIC STRUCTURED GALOIS FIELD MULTIPLIER

AN IMPROVED LOW LATENCY SYSTOLIC STRUCTURED GALOIS FIELD MULTIPLIER Indian Journal of Electronics and Electrical Engineering (IJEEE) Vol.2.No.1 2014pp1-6 available at: www.goniv.com Paper Received :05-03-2014 Paper Published:28-03-2014 Paper Reviewed by: 1. John Arhter

More information

A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER. Jesus Garcia and Michael J. Schulte

A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER. Jesus Garcia and Michael J. Schulte A COMBINED 16-BIT BINARY AND DUAL GALOIS FIELD MULTIPLIER Jesus Garcia and Michael J. Schulte Lehigh University Department of Computer Science and Engineering Bethlehem, PA 15 ABSTRACT Galois field arithmetic

More information

FPGA accelerated multipliers over binary composite fields constructed via low hamming weight irreducible polynomials

FPGA accelerated multipliers over binary composite fields constructed via low hamming weight irreducible polynomials FPGA accelerated multipliers over binary composite fields constructed via low hamming weight irreducible polynomials C. Shu, S. Kwon and K. Gaj Abstract: The efficient design of digit-serial multipliers

More information

GF(2 m ) arithmetic: summary

GF(2 m ) arithmetic: summary GF(2 m ) arithmetic: summary EE 387, Notes 18, Handout #32 Addition/subtraction: bitwise XOR (m gates/ops) Multiplication: bit serial (shift and add) bit parallel (combinational) subfield representation

More information

FPGA Realization of Low Register Systolic All One-Polynomial Multipliers Over GF (2 m ) and their Applications in Trinomial Multipliers

FPGA Realization of Low Register Systolic All One-Polynomial Multipliers Over GF (2 m ) and their Applications in Trinomial Multipliers Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2016 FPGA Realization of Low Register Systolic All One-Polynomial Multipliers Over GF (2 m ) and their

More information

A New Bit-Serial Architecture for Field Multiplication Using Polynomial Bases

A New Bit-Serial Architecture for Field Multiplication Using Polynomial Bases A New Bit-Serial Architecture for Field Multiplication Using Polynomial Bases Arash Reyhani-Masoleh Department of Electrical and Computer Engineering The University of Western Ontario London, Ontario,

More information

Transformation Techniques for Real Time High Speed Implementation of Nonlinear Algorithms

Transformation Techniques for Real Time High Speed Implementation of Nonlinear Algorithms International Journal of Electronics and Communication Engineering. ISSN 0974-66 Volume 4, Number (0), pp.83-94 International Research Publication House http://www.irphouse.com Transformation Techniques

More information

Transition Faults Detection in Bit Parallel Multipliers over GF(2 m )

Transition Faults Detection in Bit Parallel Multipliers over GF(2 m ) Transition Faults Detection in Bit Parallel Multipliers over GF( m ) Hafizur Rahaman Bengal Engineering & Science University, Shibpur Howrah-73, India rahaman_h@it.becs.ac.in Jimson Mathew Computer Science

More information

Low complexity bit-parallel GF (2 m ) multiplier for all-one polynomials

Low complexity bit-parallel GF (2 m ) multiplier for all-one polynomials Low complexity bit-parallel GF (2 m ) multiplier for all-one polynomials Yin Li 1, Gong-liang Chen 2, and Xiao-ning Xie 1 Xinyang local taxation bureau, Henan, China. Email:yunfeiyangli@gmail.com, 2 School

More information

Simplification of Procedure for Decoding Reed- Solomon Codes Using Various Algorithms: An Introductory Survey

Simplification of Procedure for Decoding Reed- Solomon Codes Using Various Algorithms: An Introductory Survey 2014 IJEDR Volume 2, Issue 1 ISSN: 2321-9939 Simplification of Procedure for Decoding Reed- Solomon Codes Using Various Algorithms: An Introductory Survey 1 Vivek Tilavat, 2 Dr.Yagnesh Shukla 1 PG Student,

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

An Area Efficient Enhanced Carry Select Adder

An Area Efficient Enhanced Carry Select Adder International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 PP.06-12 An Area Efficient Enhanced Carry Select Adder 1, Gaandla.Anusha, 2, B.ShivaKumar 1, PG

More information

Efficient Hardware Calculation of Inverses in GF (2 8 )

Efficient Hardware Calculation of Inverses in GF (2 8 ) Efficient Hardware Calculation of Inverses in GF (2 8 ) R. W. Ward, Dr. T. C. A. Molteno 1 Physics Department University of Otago Box 56, Dunedin, New Zealand 1 Email: tim@physics.otago.ac.nz Abstract:

More information

Implementation of Carry Look-Ahead in Domino Logic

Implementation of Carry Look-Ahead in Domino Logic Implementation of Carry Look-Ahead in Domino Logic G. Vijayakumar 1 M. Poorani Swasthika 2 S. Valarmathi 3 And A. Vidhyasekar 4 1, 2, 3 Master of Engineering (VLSI design) & 4 Asst.Prof/ Dept.of ECE Akshaya

More information

Low Energy Digit-serial Architectures for large GF(2 m ) multiplication

Low Energy Digit-serial Architectures for large GF(2 m ) multiplication Low Energy Digit-serial Architectures for large GF(2 m ) multiplication FETH ALLAH CHERIGUI, DANIEL MLYNEK Integrated Systems Laboratory Swiss Federal Institute of Technology EPFL CH-1015 Lausanne Feth-Allah.Cherigui@epfl.ch

More information

ISSN (PRINT): , (ONLINE): , VOLUME-4, ISSUE-10,

ISSN (PRINT): , (ONLINE): , VOLUME-4, ISSUE-10, A NOVEL DOMINO LOGIC DESIGN FOR EMBEDDED APPLICATION Dr.K.Sujatha Associate Professor, Department of Computer science and Engineering, Sri Krishna College of Engineering and Technology, Coimbatore, Tamilnadu,

More information

IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY

IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY IMPLEMENTATION OF PROGRAMMABLE LOGIC DEVICES IN QUANTUM CELLULAR AUTOMATA TECHNOLOGY Dr.E.N.Ganesh Professor ECE Department REC Chennai, INDIA Email : enganesh50@yahoo.co.in Abstract Quantum cellular automata

More information

An Algorithm for Inversion in GF(2 m ) Suitable for Implementation Using a Polynomial Multiply Instruction on GF(2)

An Algorithm for Inversion in GF(2 m ) Suitable for Implementation Using a Polynomial Multiply Instruction on GF(2) An Algorithm for Inversion in GF2 m Suitable for Implementation Using a Polynomial Multiply Instruction on GF2 Katsuki Kobayashi, Naofumi Takagi, and Kazuyoshi Takagi Department of Information Engineering,

More information

Novel Implementation of Finite Field Multipliers over GF(2m) for Emerging Cryptographic Applications

Novel Implementation of Finite Field Multipliers over GF(2m) for Emerging Cryptographic Applications Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2017 Novel Implementation of Finite Field Multipliers over GF(2m) for Emerging Cryptographic Applications

More information

EECS150 - Digital Design Lecture 21 - Design Blocks

EECS150 - Digital Design Lecture 21 - Design Blocks EECS150 - Digital Design Lecture 21 - Design Blocks April 3, 2012 John Wawrzynek Spring 2012 EECS150 - Lec21-db3 Page 1 Fixed Shifters / Rotators fixed shifters hardwire the shift amount into the circuit.

More information

Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs

Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs Article Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs E. George Walters III Department of Electrical and Computer Engineering, Penn State Erie,

More information

Design and Comparison of Wallace Multiplier Based on Symmetric Stacking and High speed counters

Design and Comparison of Wallace Multiplier Based on Symmetric Stacking and High speed counters International Journal of Engineering Research and Advanced Technology (IJERAT) DOI:http://dx.doi.org/10.31695/IJERAT.2018.3271 E-ISSN : 2454-6135 Volume.4, Issue 6 June -2018 Design and Comparison of Wallace

More information

An Efficient Multiplier/Divider Design for Elliptic Curve Cryptosystem over GF(2 m ) *

An Efficient Multiplier/Divider Design for Elliptic Curve Cryptosystem over GF(2 m ) * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 25, 1555-1573 (2009) An Efficient Multiplier/Divider Design for Elliptic Curve Cryptosystem over GF(2 m ) * MING-DER SHIEH, JUN-HONG CHEN, WEN-CHING LIN AND

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Implementation Options for Finite Field Arithmetic for Elliptic Curve Cryptosystems Christof Paar Electrical & Computer Engineering Dept. and Computer Science Dept. Worcester Polytechnic Institute Worcester,

More information

AREA EFFICIENT MODULAR ADDER/SUBTRACTOR FOR RESIDUE MODULI

AREA EFFICIENT MODULAR ADDER/SUBTRACTOR FOR RESIDUE MODULI AREA EFFICIENT MODULAR ADDER/SUBTRACTOR FOR RESIDUE MODULI G.CHANDANA 1 (M.TECH),chandana.g89@gmail.com P.RAJINI 2 (M.TECH),paddam.rajani@gmail.com Abstract Efficient modular adders and subtractors for

More information

ABHELSINKI UNIVERSITY OF TECHNOLOGY

ABHELSINKI UNIVERSITY OF TECHNOLOGY On Repeated Squarings in Binary Fields Kimmo Järvinen Helsinki University of Technology August 14, 2009 K. Järvinen On Repeated Squarings in Binary Fields 1/1 Introduction Repeated squaring Repeated squaring:

More information

Design and FPGA Implementation of Radix-10 Algorithm for Division with Limited Precision Primitives

Design and FPGA Implementation of Radix-10 Algorithm for Division with Limited Precision Primitives Design and FPGA Implementation of Radix-10 Algorithm for Division with Limited Precision Primitives Miloš D. Ercegovac Computer Science Department Univ. of California at Los Angeles California Robert McIlhenny

More information

Subquadratic Computational Complexity Schemes for Extended Binary Field Multiplication Using Optimal Normal Bases

Subquadratic Computational Complexity Schemes for Extended Binary Field Multiplication Using Optimal Normal Bases 1 Subquadratic Computational Complexity Schemes for Extended Binary Field Multiplication Using Optimal Normal Bases H. Fan and M. A. Hasan March 31, 2007 Abstract Based on a recently proposed Toeplitz

More information

Scalable Systolic Structure to Realize Arbitrary Reversible Symmetric Functions

Scalable Systolic Structure to Realize Arbitrary Reversible Symmetric Functions GESTS Int l Trans. Computer Science and Engr., Vol.18, No.1 7 Scalable Systolic Structure to Realize Arbitrary Reversible Symmetric Functions Soo-Hong Kim and Sung Choi Dep t of Computer Software Engineering,

More information

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY E.N.Ganesh 1 / V.Krishnan 2 1. Professor, Rajalakshmi Engineering College 2. UG Student, Rajalakshmi Engineering College ABSTRACT This paper

More information

DESIGN OF QUANTIZED FIR FILTER USING COMPENSATING ZEROS

DESIGN OF QUANTIZED FIR FILTER USING COMPENSATING ZEROS DESIGN OF QUANTIZED FIR FILTER USING COMPENSATING ZEROS Nivedita Yadav, O.P. Singh, Ashish Dixit Department of Electronics and Communication Engineering, Amity University, Lucknow Campus, Lucknow, (India)

More information

Instruction Set Extensions for Reed-Solomon Encoding and Decoding

Instruction Set Extensions for Reed-Solomon Encoding and Decoding Instruction Set Extensions for Reed-Solomon Encoding and Decoding Suman Mamidi and Michael J Schulte Dept of ECE University of Wisconsin-Madison {mamidi, schulte}@caewiscedu http://mesaecewiscedu Daniel

More information

Novel Modulo 2 n +1Multipliers

Novel Modulo 2 n +1Multipliers Novel Modulo Multipliers H. T. Vergos Computer Engineering and Informatics Dept., University of Patras, 26500 Patras, Greece. vergos@ceid.upatras.gr C. Efstathiou Informatics Dept.,TEI of Athens, 12210

More information

Montgomery Multiplier and Squarer in GF(2 m )

Montgomery Multiplier and Squarer in GF(2 m ) Montgomery Multiplier and Squarer in GF( m ) Huapeng Wu The Centre for Applied Cryptographic Research Department of Combinatorics and Optimization University of Waterloo, Waterloo, Canada h3wu@cacrmathuwaterlooca

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

Cost/Performance Tradeoff of n-select Square Root Implementations

Cost/Performance Tradeoff of n-select Square Root Implementations Australian Computer Science Communications, Vol.22, No.4, 2, pp.9 6, IEEE Comp. Society Press Cost/Performance Tradeoff of n-select Square Root Implementations Wanming Chu and Yamin Li Computer Architecture

More information

A new class of irreducible pentanomials for polynomial based multipliers in binary fields

A new class of irreducible pentanomials for polynomial based multipliers in binary fields Noname manuscript No. (will be inserted by the editor) A new class of irreducible pentanomials for polynomial based multipliers in binary fields Gustavo Banegas Ricardo Custódio Daniel Panario the date

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information

Subquadratic space complexity multiplier for a class of binary fields using Toeplitz matrix approach

Subquadratic space complexity multiplier for a class of binary fields using Toeplitz matrix approach Subquadratic space complexity multiplier for a class of binary fields using Toeplitz matrix approach M A Hasan 1 and C Negre 2 1 ECE Department and CACR, University of Waterloo, Ontario, Canada 2 Team

More information

A High-Speed Realization of Chinese Remainder Theorem

A High-Speed Realization of Chinese Remainder Theorem Proceedings of the 2007 WSEAS Int. Conference on Circuits, Systems, Signal and Telecommunications, Gold Coast, Australia, January 17-19, 2007 97 A High-Speed Realization of Chinese Remainder Theorem Shuangching

More information

PAPER A Low-Complexity Step-by-Step Decoding Algorithm for Binary BCH Codes

PAPER A Low-Complexity Step-by-Step Decoding Algorithm for Binary BCH Codes 359 PAPER A Low-Complexity Step-by-Step Decoding Algorithm for Binary BCH Codes Ching-Lung CHR a),szu-linsu, Members, and Shao-Wei WU, Nonmember SUMMARY A low-complexity step-by-step decoding algorithm

More information

International Journal of Advanced Computer Technology (IJACT)

International Journal of Advanced Computer Technology (IJACT) AN EFFICIENT DESIGN OF LOW POWER,FAST EL- LIPTIC CURVE SCALAR MULTIPLIER IN ECC USING S Jayalakshmi K R, M.Tech student, Mangalam college of engineering,kottayam,india; Ms.Hima Sara Jacob, Assistant professor,

More information

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2018 IJSRCSEIT Volume 3 Issue 5 ISSN : 2456-3307 Design and Implementation of Carry Look Ahead Adder

More information

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Test Pattern Generator for Built-in Self-Test using Spectral Methods Test Pattern Generator for Built-in Self-Test using Spectral Methods Alok S. Doshi and Anand S. Mudlapur Auburn University 2 Dept. of Electrical and Computer Engineering, Auburn, AL, USA doshias,anand@auburn.edu

More information

Subquadratic Space Complexity Multiplication over Binary Fields with Dickson Polynomial Representation

Subquadratic Space Complexity Multiplication over Binary Fields with Dickson Polynomial Representation Subquadratic Space Complexity Multiplication over Binary Fields with Dickson Polynomial Representation M A Hasan and C Negre Abstract We study Dickson bases for binary field representation Such representation

More information

Finite Fields. SOLUTIONS Network Coding - Prof. Frank H.P. Fitzek

Finite Fields. SOLUTIONS Network Coding - Prof. Frank H.P. Fitzek Finite Fields In practice most finite field applications e.g. cryptography and error correcting codes utilizes a specific type of finite fields, namely the binary extension fields. The following exercises

More information

Low Power, High Speed Parallel Architecture For Cyclic Convolution Based On Fermat Number Transform (FNT)

Low Power, High Speed Parallel Architecture For Cyclic Convolution Based On Fermat Number Transform (FNT) RESEARCH ARTICLE OPEN ACCESS Low Power, High Speed Parallel Architecture For Cyclic Convolution Based On Fermat Number Transform (FNT) T.Jyothsna 1 M.Tech, M.Pradeep 2 M.Tech 1 E.C.E department, shri Vishnu

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 18 Error Coding. In the real world. Our beautiful digital world.

Outline. EECS Components and Design Techniques for Digital Systems. Lec 18 Error Coding. In the real world. Our beautiful digital world. Outline EECS 150 - Components and esign Techniques for igital Systems Lec 18 Error Coding Errors and error models Parity and Hamming Codes (SECE) Errors in Communications LFSRs Cyclic Redundancy Check

More information

DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA)

DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA) DESİGN AND ANALYSİS OF FULL ADDER CİRCUİT USİNG NANOTECHNOLOGY BASED QUANTUM DOT CELLULAR AUTOMATA (QCA) Rashmi Chawla 1, Priya Yadav 2 1 Assistant Professor, 2 PG Scholar, Dept of ECE, YMCA University

More information

New Bit-Level Serial GF (2 m ) Multiplication Using Polynomial Basis

New Bit-Level Serial GF (2 m ) Multiplication Using Polynomial Basis 2015 IEEE 22nd Symposium on Computer Arithmetic New Bit-Level Serial GF 2 m ) Multiplication Using Polynomial Basis Hayssam El-Razouk and Arash Reyhani-Masoleh Department of Electrical and Computer Engineering

More information

FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION

FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION 258 FPGA BASED DESIGN OF PARALLEL CRC GENERATION FOR HIGH SPEED APPLICATION Sri N.V.N.Prasanna Kumar 1, S.Bhagya Jyothi 2,G.K.S.Tejaswi 3 1 prasannakumar429@gmail.com, 2 sjyothi567@gmail.com, 3 tejaswikakatiya@gmail.com

More information

Pipelining and Parallel Processing

Pipelining and Parallel Processing Pipelining and Parallel Processing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 010 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines

More information

Counting Two-State Transition-Tour Sequences

Counting Two-State Transition-Tour Sequences Counting Two-State Transition-Tour Sequences Nirmal R. Saxena & Edward J. McCluskey Center for Reliable Computing, ERL 460 Department of Electrical Engineering, Stanford University, Stanford, CA 94305

More information

An Effective New CRT Based Reverse Converter for a Novel Moduli Set { 2 2n+1 1, 2 2n+1, 2 2n 1 }

An Effective New CRT Based Reverse Converter for a Novel Moduli Set { 2 2n+1 1, 2 2n+1, 2 2n 1 } An Effective New CRT Based Reverse Converter for a Novel Moduli Set +1 1, +1, 1 } Edem Kwedzo Bankas, Kazeem Alagbe Gbolagade Department of Computer Science, Faculty of Mathematical Sciences, University

More information

Modular Multiplication in GF (p k ) using Lagrange Representation

Modular Multiplication in GF (p k ) using Lagrange Representation Modular Multiplication in GF (p k ) using Lagrange Representation Jean-Claude Bajard, Laurent Imbert, and Christophe Nègre Laboratoire d Informatique, de Robotique et de Microélectronique de Montpellier

More information

Revisiting Finite Field Multiplication Using Dickson Bases

Revisiting Finite Field Multiplication Using Dickson Bases Revisiting Finite Field Multiplication Using Dickson Bases Bijan Ansari and M. Anwar Hasan Department of Electrical and Computer Engineering University of Waterloo, Waterloo, Ontario, Canada {bansari,

More information

A Suggestion for a Fast Residue Multiplier for a Family of Moduli of the Form (2 n (2 p ± 1))

A Suggestion for a Fast Residue Multiplier for a Family of Moduli of the Form (2 n (2 p ± 1)) The Computer Journal, 47(1), The British Computer Society; all rights reserved A Suggestion for a Fast Residue Multiplier for a Family of Moduli of the Form ( n ( p ± 1)) Ahmad A. Hiasat Electronics Engineering

More information

Analysis and Synthesis of Weighted-Sum Functions

Analysis and Synthesis of Weighted-Sum Functions Analysis and Synthesis of Weighted-Sum Functions Tsutomu Sasao Department of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 820-8502, Japan April 28, 2005 Abstract A weighted-sum

More information

Lecture 8: Sequential Multipliers

Lecture 8: Sequential Multipliers Lecture 8: Sequential Multipliers ECE 645 Computer Arithmetic 3/25/08 ECE 645 Computer Arithmetic Lecture Roadmap Sequential Multipliers Unsigned Signed Radix-2 Booth Recoding High-Radix Multiplication

More information

Median architecture by accumulative parallel counters

Median architecture by accumulative parallel counters Median architecture by accumulative parallel counters Article Accepted Version Cadenas Medina, J., Megson, G. M. and Sherratt, S. (2015) Median architecture by accumulative parallel counters. IEEE Transactions

More information

Retiming. delay elements in a circuit without affecting the input/output characteristics of the circuit.

Retiming. delay elements in a circuit without affecting the input/output characteristics of the circuit. Chapter Retiming NCU EE -- SP VLSI esign. Chap. Tsung-Han Tsai 1 Retiming & A transformation techniques used to change the locations of delay elements in a circuit without affecting the input/output characteristics

More information

A VLSI Algorithm for Modular Multiplication/Division

A VLSI Algorithm for Modular Multiplication/Division A VLSI Algorithm for Modular Multiplication/Division Marcelo E. Kaihara and Naofumi Takagi Department of Information Engineering Nagoya University Nagoya, 464-8603, Japan mkaihara@takagi.nuie.nagoya-u.ac.jp

More information

Volume 3, No. 1, January 2012 Journal of Global Research in Computer Science RESEARCH PAPER Available Online at

Volume 3, No. 1, January 2012 Journal of Global Research in Computer Science RESEARCH PAPER Available Online at Volume 3, No 1, January 2012 Journal of Global Research in Computer Science RESEARCH PAPER Available Online at wwwjgrcsinfo A NOVEL HIGH DYNAMIC RANGE 5-MODULUS SET WHIT EFFICIENT REVERSE CONVERTER AND

More information

The equivalence of twos-complement addition and the conversion of redundant-binary to twos-complement numbers

The equivalence of twos-complement addition and the conversion of redundant-binary to twos-complement numbers The equivalence of twos-complement addition and the conversion of redundant-binary to twos-complement numbers Gerard MBlair The Department of Electrical Engineering The University of Edinburgh The King

More information

Design and Implementation of Efficient Modulo 2 n +1 Adder

Design and Implementation of Efficient Modulo 2 n +1 Adder www..org 18 Design and Implementation of Efficient Modulo 2 n +1 Adder V. Jagadheesh 1, Y. Swetha 2 1,2 Research Scholar(INDIA) Abstract In this brief, we proposed an efficient weighted modulo (2 n +1)

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

A New Division Algorithm Based on Lookahead of Partial-Remainder (LAPR) for High-Speed/Low-Power Coding Applications

A New Division Algorithm Based on Lookahead of Partial-Remainder (LAPR) for High-Speed/Low-Power Coding Applications 202 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 46, NO. 2, FEBRUARY 1999 j =5: However, increasing j means also decreasing the space in the memory. From the

More information

DESIGN OF LOW POWER-DELAY PRODUCT CARRY LOOK AHEAD ADDER USING MANCHESTER CARRY CHAIN

DESIGN OF LOW POWER-DELAY PRODUCT CARRY LOOK AHEAD ADDER USING MANCHESTER CARRY CHAIN International Conference on Systems, Science, Control, Communication, Engineering and Technology 64 International Conference on Systems, Science, Control, Communication, Engineering and Technology 2015

More information

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs April 16, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-blocks Page 1 Cross-coupled NOR gates remember, If both R=0 & S=0, then

More information

KEYWORDS: Multiple Valued Logic (MVL), Residue Number System (RNS), Quinary Logic (Q uin), Quinary Full Adder, QFA, Quinary Half Adder, QHA.

KEYWORDS: Multiple Valued Logic (MVL), Residue Number System (RNS), Quinary Logic (Q uin), Quinary Full Adder, QFA, Quinary Half Adder, QHA. GLOBAL JOURNAL OF ADVANCED ENGINEERING TECHNOLOGIES AND SCIENCES DESIGN OF A QUINARY TO RESIDUE NUMBER SYSTEM CONVERTER USING MULTI-LEVELS OF CONVERSION Hassan Amin Osseily Electrical and Electronics Department,

More information

VHDL Implementation of Reed Solomon Improved Encoding Algorithm

VHDL Implementation of Reed Solomon Improved Encoding Algorithm VHDL Implementation of Reed Solomon Improved Encoding Algorithm P.Ravi Tej 1, Smt.K.Jhansi Rani 2 1 Project Associate, Department of ECE, UCEK, JNTUK, Kakinada A.P. 2 Assistant Professor, Department of

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

Dual-Field Arithmetic Unit for GF(p) and GF(2 m ) *

Dual-Field Arithmetic Unit for GF(p) and GF(2 m ) * Institute for Applied Information Processing and Communications Graz University of Technology Dual-Field Arithmetic Unit for GF(p) and GF(2 m ) * CHES 2002 Workshop on Cryptographic Hardware and Embedded

More information

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute

DIGITAL TECHNICS. Dr. Bálint Pődör. Óbuda University, Microelectronics and Technology Institute DIGITAL TECHNICS Dr. Bálint Pődör Óbuda University, Microelectronics and Technology Institute 4. LECTURE: COMBINATIONAL LOGIC DESIGN: ARITHMETICS (THROUGH EXAMPLES) 2016/2017 COMBINATIONAL LOGIC DESIGN:

More information

Chapter 2 Basic Arithmetic Circuits

Chapter 2 Basic Arithmetic Circuits Chapter 2 Basic Arithmetic Circuits This chapter is devoted to the description of simple circuits for the implementation of some of the arithmetic operations presented in Chap. 1. Specifically, the design

More information

Design and Implementation of Carry Tree Adders using Low Power FPGAs

Design and Implementation of Carry Tree Adders using Low Power FPGAs 1 Design and Implementation of Carry Tree Adders using Low Power FPGAs Sivannarayana G 1, Raveendra babu Maddasani 2 and Padmasri Ch 3. Department of Electronics & Communication Engineering 1,2&3, Al-Ameer

More information

Chapter 5. Cyclic Codes

Chapter 5. Cyclic Codes Wireless Information Transmission System Lab. Chapter 5 Cyclic Codes Institute of Communications Engineering National Sun Yat-sen University Outlines Description of Cyclic Codes Generator and Parity-Check

More information

Design of Low Power, High Speed Parallel Architecture of Cyclic Convolution Based on Fermat Number Transform (FNT)

Design of Low Power, High Speed Parallel Architecture of Cyclic Convolution Based on Fermat Number Transform (FNT) Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 6 (2013), pp. 641-650 Research India Publications http://www.ripublication.com/aeee.htm Design of Low Power, High Speed

More information

Global Optimization of Common Subexpressions for Multiplierless Synthesis of Multiple Constant Multiplications

Global Optimization of Common Subexpressions for Multiplierless Synthesis of Multiple Constant Multiplications Global Optimization of Common Subexpressions for Multiplierless Synthesis of Multiple Constant Multiplications Yuen-Hong Alvin Ho, Chi-Un Lei, Hing-Kit Kwan and Ngai Wong Department of Electrical and Electronic

More information

Performance Enhancement of Reversible Binary to Gray Code Converter Circuit using Feynman gate

Performance Enhancement of Reversible Binary to Gray Code Converter Circuit using Feynman gate Performance Enhancement of Reversible Binary to Gray Code Converter Circuit using Feynman gate Kamal Prakash Pandey 1, Pradumn Kumar 2, Rakesh Kumar Singh 3 1, 2, 3 Department of Electronics and Communication

More information

Factorization of singular integer matrices

Factorization of singular integer matrices Factorization of singular integer matrices Patrick Lenders School of Mathematics, Statistics and Computer Science, University of New England, Armidale, NSW 2351, Australia Jingling Xue School of Computer

More information

FAST FIR ALGORITHM BASED AREA-EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURES

FAST FIR ALGORITHM BASED AREA-EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURES FAST FIR ALGORITHM BASED AREA-EFFICIENT PARALLEL FIR DIGITAL FILTER STRUCTURES R.P.MEENAAKSHI SUNDHARI 1, Dr.R.ANITA 2 1 Department of ECE, Sasurie College of Engineering, Vijayamangalam, Tamilnadu, India.

More information

Hardware implementations of ECC

Hardware implementations of ECC Hardware implementations of ECC The University of Electro- Communications Introduction Public- key Cryptography (PKC) The most famous PKC is RSA and ECC Used for key agreement (Diffie- Hellman), digital

More information

Svoboda-Tung Division With No Compensation

Svoboda-Tung Division With No Compensation Svoboda-Tung Division With No Compensation Luis MONTALVO (IEEE Student Member), Alain GUYOT Integrated Systems Design Group, TIMA/INPG 46, Av. Félix Viallet, 38031 Grenoble Cedex, France. E-mail: montalvo@archi.imag.fr

More information

Construction of a reconfigurable dynamic logic cell

Construction of a reconfigurable dynamic logic cell PRAMANA c Indian Academy of Sciences Vol. 64, No. 3 journal of March 2005 physics pp. 433 441 Construction of a reconfigurable dynamic logic cell K MURALI 1, SUDESHNA SINHA 2 and WILLIAM L DITTO 3 1 Department

More information

Are standards compliant Elliptic Curve Cryptosystems feasible on RFID?

Are standards compliant Elliptic Curve Cryptosystems feasible on RFID? Are standards compliant Elliptic Curve Cryptosystems feasible on RFID? Sandeep S. Kumar and Christof Paar Horst Görtz Institute for IT Security, Ruhr-Universität Bochum, Germany Abstract. With elliptic

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

High-Speed Polynomial Basis Multipliers Over for Special Pentanomials. José L. Imaña IEEE

High-Speed Polynomial Basis Multipliers Over for Special Pentanomials. José L. Imaña IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL 63, NO 1, JANUARY 2016 1 High-Speed Polynomial Basis Multipliers Over for Special Pentanomials José L Imaña Abstract Efficient hardware implementations

More information

Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator

Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator Word-length Optimization and Error Analysis of a Multivariate Gaussian Random Number Generator Chalermpol Saiprasert, Christos-Savvas Bouganis and George A. Constantinides Department of Electrical & Electronic

More information

High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex Family

High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex Family International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 11, Issue 04 (April 2015), PP.72-77 High Speed Time Efficient Reversible ALU Based

More information

FPGA Implementation of a Predictive Controller

FPGA Implementation of a Predictive Controller FPGA Implementation of a Predictive Controller SIAM Conference on Optimization 2011, Darmstadt, Germany Minisymposium on embedded optimization Juan L. Jerez, George A. Constantinides and Eric C. Kerrigan

More information

CORDIC, Divider, Square Root

CORDIC, Divider, Square Root 4// EE6B: VLSI Signal Processing CORDIC, Divider, Square Root Prof. Dejan Marković ee6b@gmail.com Iterative algorithms CORDIC Division Square root Lecture Overview Topics covered include Algorithms and

More information

An Optimized Hardware Architecture of Montgomery Multiplication Algorithm

An Optimized Hardware Architecture of Montgomery Multiplication Algorithm An Optimized Hardware Architecture of Montgomery Multiplication Algorithm Miaoqing Huang 1, Kris Gaj 2, Soonhak Kwon 3, and Tarek El-Ghazawi 1 1 The George Washington University, Washington, DC 20052,

More information

Galois Field Algebra and RAID6. By David Jacob

Galois Field Algebra and RAID6. By David Jacob Galois Field Algebra and RAID6 By David Jacob 1 Overview Galois Field Definitions Addition/Subtraction Multiplication Division Hardware Implementation RAID6 Definitions Encoding Error Detection Error Correction

More information

ARITHMETIC COMBINATIONAL MODULES AND NETWORKS

ARITHMETIC COMBINATIONAL MODULES AND NETWORKS ARITHMETIC COMBINATIONAL MODULES AND NETWORKS 1 SPECIFICATION OF ADDER MODULES FOR POSITIVE INTEGERS HALF-ADDER AND FULL-ADDER MODULES CARRY-RIPPLE AND CARRY-LOOKAHEAD ADDER MODULES NETWORKS OF ADDER MODULES

More information

VLSI Architecture of Euclideanized BM Algorithm for Reed-Solomon Code

VLSI Architecture of Euclideanized BM Algorithm for Reed-Solomon Code JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 2, 4-4 (29) VLSI Architecture of Euclideanized BM Algorithm for Reed-Solomon Code HUANG-CHI CHEN,2, YU-WEN CHANG 3 AND REY-CHUE HWANG Deaprtment of Electrical

More information

VLSI. Faculty. Srikanth

VLSI. Faculty. Srikanth J.B. Institute of Engineering & Technology Department of CSE COURSE FILE VLSI Faculty Srikanth J.B. Institute of Engineering & Technology Department of CSE SYLLABUS Subject Name: VLSI Subject Code: VLSI

More information

National Taiwan University Taipei, 106 Taiwan 2 Department of Computer Science and Information Engineering

National Taiwan University Taipei, 106 Taiwan 2 Department of Computer Science and Information Engineering JOURNAL OF INFORMATION SCIENCE AND ENGINEERING, 907-919 (007) Short Paper Improved Modulo ( n + 1) Multiplier for IDEA * YI-JUNG CHEN 1, DYI-RONG DUH AND YUNGHSIANG SAM HAN 1 Department of Computer Science

More information

On Random Pattern Testability of Cryptographic VLSI Cores

On Random Pattern Testability of Cryptographic VLSI Cores On Random Pattern Testability of Cryptographic VLSI Cores A. Schubert, W. Anheier Institut für Theoretische Elektrotechnik und Mikroelektronik (ITEM) University of Bremen P.O. Box 33 04 40, D-28334 Bremen

More information