SiOx Barrier Films for Flexible Displays

Size: px
Start display at page:

Download "SiOx Barrier Films for Flexible Displays"

Transcription

1 SiOx Barrier Films for Flexible Displays T. Komori*, H. Kobayashi, and H. Uyama Technical Research Institute, TOPPAN PRINTING CO., LTD , Takanodai-Mimami, Sugito-machi, Kitakatushika-gun, Saitama-ken Japan Abstract High barrier SiOx film was obtained by a roll-to-roll PECVD system using hexamethyldisiloxane (HMDSO) and oxygen. We found that SiOx film deposited by PECVD with HMDSO contains little carbon, and the refractive index and density were near 1.46 and 2.2g/cm 3 respectively, comparable to those of thermal silicon oxide. The water vapor transmission rate (WVTR) of only 40nm thick SiOx on COP (100µm) reached the detection limit of MOCON and we confirmed the possibility of application of the SiOx film to OLED by an encapsulation test. Introduction The improvement of barrier properties of polymers against oxygen and/or water vapor permeation using transparent ceramic coatings has been widely investigated for food packaging, pharmaceutical, and electronics applications. AlOx and SiOx coating on polymer films using high speed roll-to-roll processes enabled large-scale production for food packaging. Recently high barrier coatings on flexible substrates are receiving much attention in the field of flat panel display (FPD) applications: liquid crystal display (LCD), electronic paper and organic light emitting diode [1, 2] (OLED). Especially OLED attracts much expectation of the application to a flexible display, since OLED has features that are possible to reduce weight and thickness compared to other flat panel displays. The substrate for OLED is required a high barrier against water vapor, because OLED has a negative electrode and light emitting layer which are very sensitive to moisture. It is supposed that the required barrier level for OLED is less than * Electronic mail: tsunenori.komori@toppan.co.jp 10-5 g/m 2 /day, though the barrier level for packaging [3] is about 10-1 g/m 2 /day. In order to achieve this high barrier property, various techniques have been examined, such as organic/inorganic multilayer [4], SiON/CN multilayer [5] and SiNx layer [6]. We directed our attention to a roll-to-roll PECVD system in order to obtain high barrier SiOx films using hexamethyldisiloxane (HMDSO) as a precursor and oxygen. It is well known that PECVD is one of the techniques that obtain layers with high transparency and high barrier. HMDSO has high chemical stability and relatively higher vapor pressure than other organosilicon precursors, therefore it is used as an alternative to silanes (e.g. SiH4). In this report, we optimized deposition conditions from the view of SiOx layer and the possibility of application to OLED was considered. Experimental Procedures The PECVD coating was carried out in a pilot-scale roll-to-roll deposition system equipped with one electrode. In order to obtain a thicker SiOx film and avoid scratching SiOx surface at the time of

2 rewinding, these experiments carried out without rewinding, so films were fixed on main roll when SiOx was deposited. The outline of the apparatus is shown in Fig.1. When roll-to-roll deposition was performed, the barrier against water vapor achieved was about 0.05 g/m 2 /day using 125µm thick PET film as the substrate. Fig. 1 Schematic illustration of roll-to-roll PECVD deposition system. The winding roll and the rewinding roll were not used these experiments. The deposition room and the winding room were evacuated separately, and plasma was generated only in the deposition room. The main roll was kept at 50 degrees C, the substrate was fixed on the main roll, and the rise of temperature was not observed during the deposition. The plasma was generated by radio frequency (RF MHz) and the electrode also served as oxygen introduction. HMDSO was fed from the inlet in the vicinity of the electrode. The main roll rotated at a constant speed, the substrate passed throughthe deposition room and SiOx was deposited on the substrate. Results and Discussion The chemical bonding states of the deposited films were characterized by Fourier transform infrared spectroscopy (FT-IR; PerkinElmer Spectrum One). The FTIR spectra are represented in Fig. 2, which are obtained from SiOx films deposited on Si wafers with varying HMDSO/O2 flow ratios: (a), (b) and (c) indicate HMDSO/O2 flow ratio of 0.1, 0.2 and 0.4, respectively. The FTIR spectra [7, 8] exhibit three characteristic IR absorption bands of Si-O-Si bonds: the rocking mode around 450 cm -1, the bending mode around 800 cm -1 and asymmetrical stretching mode around cm -1. In the case of using HMDSO as the precursor, there are some peaks that are derived from incorporated carbon atom from HMDSO precursor such as CH3 asymmetric stretching at 2985cm -1 and CH3 symmetric bending in Si-(CH3)n at 1270cm -1. However, those peaks become smaller with reduction in flow ratio, and there are no peaks derived from carbon groups for flow ratios less than 0.2. It is noted that carbon content is less than 3 at. % with HMDSO/O2 flow ratio of 0.1 from XPS analysis. From these results, it can be said that almost no carbon is contained in SiOx film with HMDSO/O2 flow ratio of less than 0.2. Fig.2 FTIR spectra obtained from SiOx films deposited with varying HMDSO/O 2 flow ratio, (a), (b) and (c) indicate HMDSO/O 2 flow ratio of 0.1, 0.2 and 0.4

3 Fig.3 Refractive index measurement of SiOx film as a function of HMDSO/O 2 flow ratio and RF power 0.5 kw (), 1.0kW (). Fig.4 Density measurement of SiOx film as a function of HMDSO/O 2 flow ratio and RF power 0.5 kw (), 1.0kW (). Figure 3 shows the refractive index [9] of SiOx films deposited on Si wafers as a function of HMDSO/O2 flow ratio and RF power. Refractive index was measured by elipsometry with the light of 632.8nm. Refractive index, n rises with increased flow ratio, and when the high electrical power was applied, n tends to be higher, which suggests that SiOx films become more Si-rich. Stoichiometric thermal silicon oxides have n ~1.46, whereas Si-rich films have n > 1.46 and O-rich films or lower density films including Si-OH group and un-decomposed CH group have n < Refractive index of SiOx films deposited by PECVD with flow ratio of 0.1 takes the value of ~1.46. The film density was determined by X-ray reflectivity (XRR) using advanced thin film X-ray system (Rigaku ATX-G). Fig. 4 shows that SiOx films deposited on Si wafers under the sameconditions as in Fig. 3 have a density of around 2.2 g/cm 3.Since the density of SiOx deposited by thermal silicon oxide is 2.2g/cm 3, the densities of SiOx deposited by PECVD with HMDSO are comparable to those of thermal silicon oxide. When power is 0.5kW and flow ratio is 0.1, density reaches 2.2g/cm 3 and decreases with a flow ratio increase. In the case of 1.0kW and the flow ratio between 0.1 and 0.3, the density remains near 2.2g/cm 3. Fig.5 WVTR as a function of SiOx thickness using various substrates: PET175m (), COP 100m (), PES 200m (). We took the above-mentioned factors into considerationstructure, composition and density of SiOx films. We measured the water vapor transmission rate (WVTR) as a function of SiOx thickness using various substrates: PET 175µm ( ), polyether sulphone (PES) 200µm ( ), cyclic-olefin polymer (COP) 100µm ( ), and the results are indicated in Fig. 5. The WVTR measurements were taken on a MOCON PERMATRAN 3/33 at 40

4 Fig.6 Encapsulation test comparing dark spot areas using barrier films. degrees C, 90% relative humidity. From these results, WVTR decreases rapidly with increasing SiOx Film thickness, and became below the detection limit of MOCON (0.01g/m 2 /day) when the thickness of SiOx was 40nm. It is thought that the barrier property difference between substrates is caused by the roughness and the barrier of the base substrates. Fig. 7 Area ratio of Dark Spot after OLED encapsulation using barrier films: sample A(), B (), and glass (). In order to investigate the possibility of application to OLED, We fabricated a polymer type OLED on a glass substrate which was encapsulated with barrier film deposited by PECVD in a water and oxygen free environment. The thin calcium layer which is the negative electrode of an OLED is very sensitive to water, and the resulting calcium corrosion forms a dark spot (DS) which doesn t emit light. To estimate the amount of water vapor permeation, the area ratio of DS of the OLED samples aged at 60 degrees C, 90% relative humidity was measured. Fig. 6 indicates the results of this DS test, where the difference between Samples A and B is the difference of the substrate used in the barrier film.fig. 7 represents the area ratio of DS of the OLED samples A ( ) and B ( ). As a reference, a sample encapsulated by glass ( ) was also examined at the same time. Sample A kept a low area ratio of DS for 500h, comparable to that of glass encapsulation. On other hand sample B, DS growth was observed and the barrier film delaminated from OLED. These results indicate that barrier films for OLED must take into consideration not only barrier property but also the selection and pretreatment of the substrates. Finally, we fabricated a flexible OLED using the barrier film instead of a glass substrate and its picture is shown in Fig.8.

5 Fukuda, Teruichi Watanabe, Hideo Ochi, Tsuyoshi Sakamoto, Takako Miyake, Masami Tsuchida, Isamu Ohshita, Teruo Tohma, Journal of Luminescence (2000) 56. [7] Min Tae Kim, Thin Solid Films 311(1997) 157 [8] F. Benitez, E. Martinez, and J. Esteve, Thin Solid Films 377 (2000) 109 [9] R. Rashid, A. J. Flewitt, and J. Robertson, J. Vac. Sci. Technol. A, 21 (2003) 726 Fig. 8 Flexible OLED using barrier film instead of glass substrate. Conclusion In this report we investigated high barrier SiOx film obtained by a roll-to-roll PECVD deposition system. We found out that SiOx film deposited by PECVD with HMDSO contains little carbon, and the refractive index and density of obtained SiOx film were near 1.46 and 2.2g/cm 3, respectively. The resulting WVTR of the barrier film reached the detection limit of MOCON with only 40nm thick SiOx and were confirmed comparable to glass by an encapsulation test of an OLED display. References [1] Ayako Yoshida, Akira Sugimoto, Toshiyuki Miyadera, Satoshi Miyaguchi, J. Photopolym. Sci. Technol. 14 (2001) 327. [2] Anna B. Chwang, Mark A. Rothman, Sokhanno Y. Mao, Richard H. Hewitt, Michael S. Weaver, Jeff A. Silvernail, Kamala Rajan, Michael Hack, and Julie J. Brown, SID 03 Digest 34 (2003) 868. [3] e.g. Toppan GX film. ( [4] M. S. Weaver, L. A. Michalski, K. Rajan, M. A. Rothman, J. A. Silvernail, P. E. Burrows, G. L. Graff, M. E. Gross, P. M. Martin, M. Hall, E. Mast, C. Bonham, W. Bennett, and M. Zumhoff, Appl. Phys. Lett., 81 (2002) [5] Kunio Akedo, Atsushi Miura, Hisayoshi Fujikawa, and Yasunori Taga, SID 03 Digest 34 (2003) 559. [6] Hirofumi Kubota, Satoshi Miyaguchi, Shinichi Ishizuka, Takeo Wakimoto, Jun Funaki, Yoshinori

6 BACK TO LIST

Ultra Thin Film Encapsulation of Organic Light Emitting Diode on a Plastic Substrate

Ultra Thin Film Encapsulation of Organic Light Emitting Diode on a Plastic Substrate Ultra Thin Film Encapsulation of Organic Light Emitting Diode on a Plastic Substrate Sang-Hee Ko Park, Jiyoung Oh, Chi-Sun Hwang, Jeong-Ik Lee, Yong Suk Yang, Hye Yong Chu, Kwang-Yong Kang We have carried

More information

Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement

Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement AIMCAL 2016 Dresden Juliane Fichtner Tobias Beck Frank Simon (IPF, Dresden) page 1 Motivation oxygen

More information

Predicting the Lifetime of Flexible Permeation Barrier Layers for OLED Displays

Predicting the Lifetime of Flexible Permeation Barrier Layers for OLED Displays Predicting the Lifetime of Flexible Permeation Barrier Layers for OLED Displays Bhadri Visweswaran, Siddharth Harikrishna Mohan, William Quinn, Ruiqing (Ray) Ma, Jeff Silvernail, James Sturm, Sigurd Wagner

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

atmospheric pressure

atmospheric pressure The hydrophobic of SiO x film deposited by the cold plasma arc at atmospheric pressure Zhen Zhou 1, Qiang Chen 1 *, Fuping Liu 1, Zhaoxing Ren 2 1-Laboratory of Plasma Physics and Materials, Beijing Institute

More information

Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement

Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement Juliane Fichtner, Tobias Beck, Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma

More information

A. Merlo a, A. Cremona b, E. Vassallo b, L. Laguardia b, S. Phani c, J. Steidl d

A. Merlo a, A. Cremona b, E. Vassallo b, L. Laguardia b, S. Phani c, J. Steidl d IMPROVEMENT OF MOISTURE BARRIER PROPERTIES OF CFRP FOR HIGH-PRECISION ENGINEERING APPLICATIONS THROUGH SILICON-LIKE HYBRID FILMS PREPARED BY PLASMA ENHANCED CHEMICAL VAPOUR DEPOSITION A. Merlo a, A. Cremona

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Atomic layer deposition of titanium nitride

Atomic layer deposition of titanium nitride Atomic layer deposition of titanium nitride Jue Yue,version4, 04/26/2015 Introduction Titanium nitride is a hard and metallic material which has found many applications, e.g.as a wear resistant coating[1],

More information

Process control of organosilicon plasmas for barrier film preparations

Process control of organosilicon plasmas for barrier film preparations Pure & Appl. Chem., Vol. 70, No. 6, pp. 1203-1208,1998. Printed in Great Britain. 0 1998 IUPAC Process control of organosilicon plasmas for barrier film preparations Ritalba Lamendola, Ricardo d'agostino

More information

Impermeable Thin Film Encapsulation for Lighting, Displays and Solar Cells

Impermeable Thin Film Encapsulation for Lighting, Displays and Solar Cells Impermeable Thin Film Encapsulation for Lighting, Displays and Solar Cells D.A. Spee, J.M. de Groot, C.H.M. van der Werf, J.K. Rath, R.E.I. Schropp STW-TFN Dec. 7, 2010 1 Outline Introduction Why multi

More information

Real-time and in-line Optical monitoring of Functional Nano-Layer Deposition on Flexible Polymeric Substrates

Real-time and in-line Optical monitoring of Functional Nano-Layer Deposition on Flexible Polymeric Substrates Real-time and in-line Optical monitoring of Functional Nano-Layer Deposition on Flexible Polymeric Substrates S. Logothetidis Lab for Thin Films, Nanosystems & Nanometrology, Aristotle University of Thessaloniki,

More information

Thin and Ultrathin Plasma Polymer Films and Their Characterization

Thin and Ultrathin Plasma Polymer Films and Their Characterization WDS'13 Proceedings of Contributed Papers, Part III, 134 138, 2013. ISBN 978-80-7378-252-8 MATFYZPRESS Thin and Ultrathin Plasma Polymer Films and Their Characterization M. Petr, O. Kylián, J. Hanuš, A.

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Atomic Layer Deposition in Food Packaging and Barrier Coatings

Atomic Layer Deposition in Food Packaging and Barrier Coatings Atomic Layer Deposition in Food Packaging and Barrier Coatings Cost Workshop 16 th September2011, Espoo Dr. Pirjo Heikkilä VTT Technical Research Centre of Finland 2 Outline of the Presentation Food packaging

More information

Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition

Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition Journal of the Korean Physical Society, Vol. 50, No. 6, June 2007, pp. 1814 1818 Low-Dielectric-Constant SiOC(-H) Films Prepared from DMDMS and O 2 Precursors by Using Plasma Enhanced Chemical Vapor Deposition

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Portfolio. Chemical supply. systems. Bulk and. special gas distribution. Engineering, design and development. Service and operation

Portfolio. Chemical supply. systems. Bulk and. special gas distribution. Engineering, design and development. Service and operation Thin Film Solutions Portfolio Chemical supply Bulk and systems special gas distribution Engineering, design and development Service and operation Process vacuum and exhaust systems 01-2010 Global Structure

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

High speed vacuum deposition of organic TFTs in a roll-to-roll facility

High speed vacuum deposition of organic TFTs in a roll-to-roll facility High speed vacuum deposition of organic TFTs in a roll-to-roll facility Dr Hazel Assender University of Oxford 1 Prof Martin Taylor Eifion Patchett, Aled Williams Prof Long Lin Prof Steve Yeates Dr John

More information

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films Journal of Sol-Gel Science and Technology 13, 409 413 (1998) c 1998 Kluwer Academic Publishers. Manufactured in The Netherlands. Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid

More information

Supporting information

Supporting information Supporting information Improvement of Transparent Conducting Performance on Oxygen- Activated Fluorine-Doped Tin Oxide Electrodes Formed by Horizontal Ultrasonic Spray Pyrolysis Deposition Bon-Ryul Koo,

More information

CNR-ISMAC, Sede di Biella

CNR-ISMAC, Sede di Biella PLASMA-ASSISTED COATING TO IMPROVE TEXTILE PERFORMANCES CNR-ISMAC, Sede di Biella c.so Giuseppe Pella, 16 13900 Biella www.bi.ismac.cnr.it Sede di Biella GOAL: Study of the effect of low-pressure plasma

More information

Infrared characterization of a-si:h/a-si1-xc x:h interfaces

Infrared characterization of a-si:h/a-si1-xc x:h interfaces Infrared characterization of a-si:h/a-si1-xc x:h interfaces J. Bertomeu, J. Puigdollers, J.M. Asensi and J. Andreu. Laboratori de Física de Capes fines (LCFC), Departament de Física Aplicada i Electrònica,

More information

T: +44 (0) W:

T: +44 (0) W: Ultraviolet Deposition of Thin Films and Nanostructures Ian W. Boyd ETC Brunel University Kingston Lane Uxbridge Middx UB8 3PH UK T: +44 (0)1895 267419 W: etcbrunel.co.uk E: ian.boyd@brunel.ac.uk Outline

More information

Key Words: Permeation barrier coatings Moisture permeation

Key Words: Permeation barrier coatings Moisture permeation Recent developments in measuring permeation through barrier films and understanding of permeation processes H. Nörenberg, Technolox Ltd., Oxford, UK and V. M. Burlakov, Department of Materials, University

More information

Structure of Thin Plasma Polymer Films on the Base of Hexamethyldisiloxane

Structure of Thin Plasma Polymer Films on the Base of Hexamethyldisiloxane Bulg. J. Phys. 40 (2013) 208 213 Structure of Thin Plasma Polymer Films on the Base of Hexamethyldisiloxane E. Radeva Georgi Nadjakov Institute of Solid State Physics, Bulgarian Academy of Sciences, 72

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Facile and purification-free synthesis of nitrogenated amphiphilic graphitic carbon dots

Facile and purification-free synthesis of nitrogenated amphiphilic graphitic carbon dots Supporting Information Facile and purification-free synthesis of nitrogenated amphiphilic graphitic carbon dots Byung Joon Moon, 1 Yelin Oh, 1 Dong Heon Shin, 1 Sang Jin Kim, 1 Sanghyun Lee, 1,2 Tae-Wook

More information

Permeation Measurement Testing Techniques. Michelle Stevens MOCON, Inc.

Permeation Measurement Testing Techniques. Michelle Stevens MOCON, Inc. Permeation Measurement Testing Techniques Michelle Stevens MOCON, Inc. Permeation Permeation Permeation is simply the flux of molecules through a material normalized to the pressure drop across the film.

More information

Dielectric materials for Organic Thin-Film Transistors

Dielectric materials for Organic Thin-Film Transistors Dielectric materials for Organic Thin-Film Transistors Arinola Awomolo University of Illinois Chicago Advisors: : Prof. Christos Takoudis, Prof. Greg Jursich Graduate Research Assistant: Lin Jiang Motorola

More information

Achieve a deeper understanding of polymeric systems

Achieve a deeper understanding of polymeric systems The nanoscale spectroscopy company The world leader in nanoscale IR spectroscopy Achieve a deeper understanding of polymeric systems nanoir spectroscopy uniquely and unambiguously identifies the chemical

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

doi: /

doi: / doi: 10.1063/1.350497 Morphology of hydrofluoric acid and ammonium fluoride-treated silicon surfaces studied by surface infrared spectroscopy M. Niwano, Y. Takeda, Y. Ishibashi, K. Kurita, and N. Miyamoto

More information

Flexible organic inorganic hybrid layer encapsulation for organic optoelectronic

Flexible organic inorganic hybrid layer encapsulation for organic optoelectronic Flexible organic inorganic hybrid layer encapsulation for organic optoelectronic devices Subimal Majee a,, Maria Fátima Cerqueira b, Denis Tondelier a, Bernard Geffroy a,c, Yvan Bonnassieuxa, Pedro Alpuim

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

H loss mechanism during anneal of silicon nitride: Chemical dissociation

H loss mechanism during anneal of silicon nitride: Chemical dissociation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 10 15 NOVEMBER 2000 H loss mechanism during anneal of silicon nitride: Chemical dissociation Christoph Boehme a) and Gerald Lucovsky Department of Physics,

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-7-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD Meredith

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

Optical and Photonic Glasses. Lecture 30. Femtosecond Laser Irradiation and Acoustooptic. Professor Rui Almeida

Optical and Photonic Glasses. Lecture 30. Femtosecond Laser Irradiation and Acoustooptic. Professor Rui Almeida Optical and Photonic Glasses : Femtosecond Laser Irradiation and Acoustooptic Effects Professor Rui Almeida International Materials Institute For New Functionality in Glass Lehigh University Femto second

More information

Creating New Barriers with Graphene

Creating New Barriers with Graphene Creating New Barriers with Graphene Authors: Richard Akam, Lynn Chikosha & Tim von Werne Introduction Graphene was first isolated in 2004 by Andre Geim and Konstantin Novoselov at Manchester University.

More information

Kobe University Repository : Kernel

Kobe University Repository : Kernel Kobe University Repository : Kernel タイトル Title 著者 Author(s) 掲載誌 巻号 ページ Citation 刊行日 Issue date 資源タイプ Resource Type 版区分 Resource Version 権利 Rights DI JaLCDI URL Preparation and properties of organic-inorganic

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Organic LEDs part 6. Exciton Recombination Region in Organic LEDs. Handout: Bulovic, et al., Chem. Phys. Lett. 287, 455 (1998); 308, 317 (1999).

Organic LEDs part 6. Exciton Recombination Region in Organic LEDs. Handout: Bulovic, et al., Chem. Phys. Lett. 287, 455 (1998); 308, 317 (1999). Organic LEDs part 6 Exciton Recombination Region in Organic LEDs White OLED Flexible OLEDs Solvation Effect Solid State Solvation Handout: Bulovic, et al., Chem. Phys. Lett. 287, 455 (1998); 308, 317 (1999).

More information

Quantum Dots for Advanced Research and Devices

Quantum Dots for Advanced Research and Devices Quantum Dots for Advanced Research and Devices spectral region from 450 to 630 nm Zero-D Perovskite Emit light at 520 nm ABOUT QUANTUM SOLUTIONS QUANTUM SOLUTIONS company is an expert in the synthesis

More information

Please do not adjust margins. Graphene oxide based moisture-responsive biomimetic film actuators with nacrelike layered structures

Please do not adjust margins. Graphene oxide based moisture-responsive biomimetic film actuators with nacrelike layered structures Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry Please do 2017 not adjust margins Journal Name ARTICLE Supporting information

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Synthesis and Substitution Chemistry of Redox-Active

Synthesis and Substitution Chemistry of Redox-Active Supporting Information Synthesis and Substitution Chemistry of Redox-Active Manganese/Cobalt Oxide Nanosheets Nobuyuki Sakai, Katsutoshi Fukuda, Renzhi Ma, and Takayoshi Sasaki *, International Center

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy N. Dietz, D.J. Stephens, G. Lucovsky and K.J. Bachmann North Carolina State University, Raleigh,

More information

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195 ptimized Materials Properties for rganosilicate Glasses Produced by Plasma-Enhanced Chemical Vapor Deposition M.L. Neill, R.N. Vrtis, J.L. Vincent, A.S. Lukas, E.J. Karwacki, B.K. Peterson, and M.D. Bitner

More information

Mesoporous titanium dioxide electrolyte bulk heterojunction

Mesoporous titanium dioxide electrolyte bulk heterojunction Mesoporous titanium dioxide electrolyte bulk heterojunction The term "bulk heterojunction" is used to describe a heterojunction composed of two different materials acting as electron- and a hole- transporters,

More information

COMPARISON OF VHF, RF AND DC PLASMA EXCITATION FOR a-si:h DEPOSITION WITH HYDROGEN DILUTION

COMPARISON OF VHF, RF AND DC PLASMA EXCITATION FOR a-si:h DEPOSITION WITH HYDROGEN DILUTION COMPARISON OF, RF AND PLASMA EXCITATION FOR a-si:h DEPOSITION WITH HYDROGEN DILUTION R. PLATZ, C. HOF, S. WIEDER, B. RECH, D. FISCHER, A. SHAH, A. PAYNE and S. WAGNER Department of Electrical Engineering,

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

New experimental evidence for the role of long- range potential fluctuations in the mechanism of 1/f noise in a-si:h

New experimental evidence for the role of long- range potential fluctuations in the mechanism of 1/f noise in a-si:h New experimental evidence for the role of long- range potential fluctuations in the mechanism of 1/f noise in a-si:h J.P.R. Bakker 1, P.J.S. van Capel 1, B.V. Fine 2,3, and J.I. Dijkhuis 1 1 Debye Institute

More information

TiO2/sapphire Beam Splitter for High-order Harmonics

TiO2/sapphire Beam Splitter for High-order Harmonics Technical Communication TiO2/sapphire Beam Splitter for High-order Harmonics Y. Sanjo*1, M. Murata*1, Y. Tanaka*1, H. Kumagai*1, and M. Chigane*2 *1 Graduate School of Engineering,Osaka City University,

More information

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces Supporting information Infrared Characterization of Interfacial Si-O Bond Formation on Silanized Flat SiO 2 /Si Surfaces Ruhai Tian,, Oliver Seitz, Meng Li, Wenchuang (Walter) Hu, Yves Chabal, Jinming

More information

KEYWORDS plasma enhanced chemical vapor deposition, dusty plasma, particulate contamination, film growth rate

KEYWORDS plasma enhanced chemical vapor deposition, dusty plasma, particulate contamination, film growth rate Evaluation of Dust Particle Properties and Particulate Contamination in a PECVD Reactor by Visualization Measurements On-line Number 474 Yutaka Hayashi, Manabu Shimada and Kikuo Okuyama Department of Chemical

More information

Surface Hydrophilic Treatment of Polyester Films via UV irradiation

Surface Hydrophilic Treatment of Polyester Films via UV irradiation Surface Hydrophilic Treatment of Polyester Films via UV irradiation Gwang Hoe Koo, Hae Sung Lee and Jinho Jang School of Advanced Materials and System Engineering, Kumoh National Institute of Technology,

More information

Measurement of wettability for polymer materials using non-contact surface resistivity

Measurement of wettability for polymer materials using non-contact surface resistivity Proc. 26 Electrostatics Joint Conference Measurement of wettability for polymer materials using non-contact surface resistivity tester Toshiyuki Sugimoto, Takuya Aoki Graduate school of Science and Engineering

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Atmospheric pressure Plasma Enhanced CVD for large area deposition of TiO 2-x electron transport layers for PV. Heather M. Yates

Atmospheric pressure Plasma Enhanced CVD for large area deposition of TiO 2-x electron transport layers for PV. Heather M. Yates Atmospheric pressure Plasma Enhanced CVD for large area deposition of TiO 2-x electron transport layers for PV Heather M. Yates Why the interest? Perovskite solar cells have shown considerable promise

More information

The Effects of Hydrazine Monohydrate Surface Doping on Graphene

The Effects of Hydrazine Monohydrate Surface Doping on Graphene Macalester Journal of Physics and Astronomy Volume 4 Issue 1 Spring 2016 Article 8 May 2016 The Effects of Hydrazine Monohydrate Surface Doping on Graphene Christian M. Stewart Macalester College, cstewart@macalester.edu

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping Muthappan Asokan, Oliver Chyan*, Interfacial Electrochemistry and Materials Research Lab, University of North Texas

More information

Fig. S1 The Structure of RuCE(Left) and RuCA (Right)

Fig. S1 The Structure of RuCE(Left) and RuCA (Right) Supporting information Fabrication of CZTS and CZTSSe photocathode CZTS photocathode was fabricated by sulfurization of a stacked film containing Cu, Zn and Sn. The stacked film was fabricated on Mo coated

More information

The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity

The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity , pp.21-27 http://dx.doi.org/10.14257/astl.2017.145.05 The Effect of Discharge Characteristics on Dielectric Barrier Discharges According to the Relative Permittivity Don-Kyu Lee Electrical Engineering,

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Continuous viewing angle-tunable liquid crystal display using temperature-dependent birefringence layer

Continuous viewing angle-tunable liquid crystal display using temperature-dependent birefringence layer Continuous viewing angle-tunable liquid crystal display using temperature-dependent birefringence layer Jin Seog Gwag 1, In-Young Han 2, Chang-Jae Yu 2, Hyun Chul Choi 3, and Jae-Hoon Kim 1,2,4*, 1 Department

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Atomic Level Analysis of SiC Devices Using Numerical Simulation

Atomic Level Analysis of SiC Devices Using Numerical Simulation Atomic Level Analysis of Devices Using Numerical mulation HIRSE, Takayuki MRI, Daisuke TERA, Yutaka ABSTRAT Research and development of power semiconductor devices with (silicon carbide) has been very

More information

FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS

FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS Romanian Reports in Physics, Vol. 68, No. 3, P. 1108 1114, 2016 FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS V. MĂRĂSCU 1, 2,*, S. VIZIREANU 2, S. D. STOICA 2, V. BARNA 1, A. LAZEA- STOYANOVA

More information

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2003 Supporting Information for Angew. Chem. Int. Ed. Z52074 Wiley-VCH 2003 69451 Weinheim, Germany Kinetic and Thermodynamic Control via Chemical Bond Rearrangement on Si(001) Surface Chiho Hamai, Akihiko

More information

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device

1 EX/P4-8. Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device 1 EX/P4-8 Hydrogen Concentration of Co-deposited Carbon Films Produced in the Vicinity of Local Island Divertor in Large Helical Device T. Hino 1,2), T. Hirata 1), N. Ashikawa 2), S. Masuzaki 2), Y. Yamauchi

More information

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells R. J. Choi, H. W. Shim 2, E. K. Suh 2, H. J. Lee 2, and Y. B. Hahn,2, *. School of Chemical Engineering

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility

Supporting Information. Effects of Environmental Water Absorption by. Film Transistor Performance and Mobility Supporting Information Effects of Environmental Water Absorption by Solution-Deposited Al 2 O 3 Gate Dielectrics on Thin Film Transistor Performance and Mobility Trey B. Daunis, James M. H. Tran, and Julia

More information

Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment

Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment Mat. Res. Soc. Symp. Vol. 635 2001 Materials Research Society Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment Donglu Shi, Zhou Yu, S. X. Wang 1, Wim J.

More information

Measurement and Analysis of Water Adsorption in Porous Silica Films

Measurement and Analysis of Water Adsorption in Porous Silica Films Journal of The Electrochemical Society, 153 8 G759-G764 2006 0013-4651/2006/153 8 /G759/6/$20.00 The Electrochemical Society Measurement and Analysis of Water Adsorption in Porous Silica Films Shin-Ichiro

More information

Plasma processes under low and atmospheric pressure.

Plasma processes under low and atmospheric pressure. Plasma processes under low and atmospheric pressure. O.Kylián, J. Hanuš, A. Choukourov, J. Kousal, A. Kuzminova, P. Solar, A. Shelemin, H. Biederman Charles University in Prague Faculty of Mathematics

More information

Supporting Information

Supporting Information Supporting Information Synthesis, Anion Exchange, and Delamination of Co-Al Layered Double Hydroxide. Assembly of the Exfoliated Nanosheet/Polyanion Composite Films and Magneto-optical Studies Zhaoping

More information

Improvement of Electromigration Lifetime in Al-Si-Cu/Ti/TiN/Ti Layered Interconnects

Improvement of Electromigration Lifetime in Al-Si-Cu/Ti/TiN/Ti Layered Interconnects Improvement of Electromigration Lifetime in Al-Si-Cu/Ti/TiN/Ti Layered Interconnects Tomoyuki Yoshida, Shoji Hashimoto, Hideki Hosokawa, Takeshi Ohwaki, Yasuichi Mitsushima, Yasunori Taga ( borophosphosilicate

More information

Supporting Information

Supporting Information Supporting Information Oh et al. 10.1073/pnas.0811923106 SI Text Hysteresis of BPE-PTCDI MW-TFTs. Fig. S9 represents bidirectional transfer plots at V DS 100VinN 2 atmosphere for transistors constructed

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Zirconium Oxynitride Catalyzed Oxygen Reduction. Reaction at Polymer Electrolyte Fuel Cell Cathodes

Zirconium Oxynitride Catalyzed Oxygen Reduction. Reaction at Polymer Electrolyte Fuel Cell Cathodes Supporting Information Zirconium Oxynitride Catalyzed Oxygen Reduction Reaction at Polymer Electrolyte Fuel Cell Cathodes Mitsuharu Chisaka, *, Akimitsu Ishihara,, Hiroyuki Morioka, # Takaaki Nagai, Shihong

More information

Bio-compatible polymer coatings using low temperature, atmospheric pressure plasma

Bio-compatible polymer coatings using low temperature, atmospheric pressure plasma High Performance and Optimum Design of Structures and Materials 579 Bio-compatible polymer coatings using low temperature, atmospheric pressure plasma S. Farhat, M. Gilliam, A. Zand & M. Rabago-Smith Department

More information

Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method

Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method Bonding structure and hydrogen content in silicon nitride thin films deposited by the electron cyclotron resonance plasma method F. L. Martínez a, R. Ruiz-Merino a, A. del Prado b, E. San Andrés b, I.

More information

Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy

Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy Eindhoven University of Technology MASTER Plasma-assisted atomic layer deposition of Al2O3 studied by infrared transmission spectroscopy Keijmel, J. Award date: 2008 Link to publication Disclaimer This

More information

Application of Surface Analysis for Root Cause Failure Analysis

Application of Surface Analysis for Root Cause Failure Analysis Application of Surface Analysis for Root Cause Failure Analysis David A. Cole Evans Analytical Group East Windsor, NJ Specialists in Materials Characterization Outline Introduction X-Ray Photoelectron

More information

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image and (b) height profile of GO obtained by spin-coating on silicon wafer, showing a typical thickness of ~1 nm. 1 Supplementary

More information

OPTICAL ANALYSIS OF ZnO THIN FILMS USING SPECTROSCOPIC ELLIPSOMETRY AND REFLECTOMETRY.

OPTICAL ANALYSIS OF ZnO THIN FILMS USING SPECTROSCOPIC ELLIPSOMETRY AND REFLECTOMETRY. OPTICAL ANALYSIS OF ZnO THIN FILMS USING SPECTROSCOPIC ELLIPSOMETRY AND REFLECTOMETRY Katarína Bombarová 1, Juraj Chlpík 1,2, Soňa Flickyngerová 3, Ivan Novotný 3, Július Cirák 1 1 Institute of Nuclear

More information

Development and application for X-ray excited optical luminescence (XEOL) technology at STXM beamline of SSRF

Development and application for X-ray excited optical luminescence (XEOL) technology at STXM beamline of SSRF Development and application for X-ray excited optical luminescence (XEOL) technology at STXM beamline of SSRF Content Introduction to XEOL Application of XEOL Development and Application of XEOL in STXM

More information

The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition

The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition Thin Solid Films 515 (2007) 5035 5039 www.elsevier.com/locate/tsf The structures of low dielectric constant SiOC thin films prepared by direct and remote plasma enhanced chemical vapor deposition Jaeyeong

More information

A Novel Electroless Method for the Deposition of Single-Crystalline Platinum Nanoparticle Films On

A Novel Electroless Method for the Deposition of Single-Crystalline Platinum Nanoparticle Films On Supplementary Information A Novel Electroless Method for the Deposition of Single-Crystalline Platinum Nanoparticle Films On an Organic Solid Matrix in the Presence of Gold Single Crystals Khaleda Banu,,,*

More information

Semiconductor Nanocrystals from Nonthermal Plasmas. Rebecca J. Anthony University of Minnesota

Semiconductor Nanocrystals from Nonthermal Plasmas. Rebecca J. Anthony University of Minnesota Semiconductor Nanocrystals from Nonthermal Plasmas Rebecca J. Anthony University of Minnesota 1 Nanocrystals in devices efficient light emitters and absorbers versatile deposition schemes possibility for

More information

Supplementary Information:

Supplementary Information: Supplementary Information: One-Step and Rapid Synthesis of Clean and Monodisperse Dendritic Pt Nanoparticles and Their High Performance Toward Methanol Oxidation and p-nitrophenol Reduction Jun Wang, Xin-Bo

More information

Production of Graphite Chloride and Bromide Using Microwave Sparks

Production of Graphite Chloride and Bromide Using Microwave Sparks Supporting Information Production of Graphite Chloride and Bromide Using Microwave Sparks Jian Zheng, Hongtao Liu, Bin Wu, Chong-an Di, Yunlong Guo, Ti Wu, Gui Yu, Yunqi Liu, * and Daoben Zhu Key Laboratory

More information

Photovoltaic cell and module physics and technology

Photovoltaic cell and module physics and technology Photovoltaic cell and module physics and technology Vitezslav Benda, Prof Czech Technical University in Prague benda@fel.cvut.cz www.fel.cvut.cz 6/21/2012 1 Outlines Photovoltaic Effect Photovoltaic cell

More information