CNR-ISMAC, Sede di Biella

Size: px
Start display at page:

Download "CNR-ISMAC, Sede di Biella"

Transcription

1 PLASMA-ASSISTED COATING TO IMPROVE TEXTILE PERFORMANCES CNR-ISMAC, Sede di Biella c.so Giuseppe Pella, Biella

2 Sede di Biella GOAL: Study of the effect of low-pressure plasma treatment in order to reduce pill formation on knitted wool fabrics Plasma-Enhanced Chemical-Vapor Deposition of thin film Si:Ox:Cy:Hz. WOOL Monomer Si] 2 O Non-polymer-forming gas Plasma Polymerization Polymer-forming intermediates Wool is an interesting substrate for plasma modification for outermost part of the fiber called epicuticule (5-7 nm), a surface layer rich in lipids and ionisable functional groups. Plasma-induced Polymerization Polymer deposition Polymer Ablation CAP competitive ablation and polymerization mechanism H. Yasuda; Plasma Surface Modification and Plasma Polymerization

3 Pilling It is a physical process that leads to the formation of bundles or balls of tangled fibres that cling to the fabric surface. Anti-pilling treatments have to Reduce the fabric surface fuzzing Modify interfibre coefficient Reduce the mobility of the fibre in the yarn No single well-established specific anti-pilling method is used in textile industry. Generally are used silicon based aminofunctional wet chemical processes. It depends on: Repeated mechanical stresses External pressure Fibre-fibre friction Plasma advantages Modify the wool fibre surface to a depth of nm without altering the bulk properties. Do not produce waste water or chemical effluents The process is simple, clean, safe

4 LTP Equipment at LATT (Laboratorio di Alta Tecnologia Tessile) Stainless steel plasma reactor with two side alluminium flanges Power electrode: RF (13.56 MHz) Two capacitively-coupled cylindrical electrodes Pump system: a turbo-molecular and a rotary pump Fabric sample 30cm x 30cm is fixed on a rotating roller (4run/min) Plasma Instrument project IFP CNR & Kenotec S.R.L, Milan Italy

5 Materials Knitted wool fabric Sede di Biella 2/48 Nm 30 cm Twist folded yarn 550 turns/m Z Twist folded yarn 550 turns/m S [(CH3)3Si]2O Weight: 292 g/m 2 30 cm Experimental Conditions Gas Flux: O 2 = 20 sccm Ar = 20 sccm HMDSO = 3 sccm m Deposition Time: 5-8 minutes Discharge Power: W Step 1 Step 2 Step 3 Ar 50W 3min 20Pa O 2 50W 3min 20Pa O 2 50W 3min 20Pa O 2 50W 3min 20Pa HMDSO/O 2 /Ar 40W 20Pa HMDSO/O 2 40W 2Pa HMDSO/O 2 /Ar 2Pa HMDSO/O 2 /Ar 2Pa HMDSO/O 2 2Pa HMDSO/O 2 2Pa Ar 40W 1min 2Pa - - Ar 40W 1min 2Pa HMDSO/O 2 40W 2Pa

6 Power (W) SEM Film Thickness (nm) Sede di Biella AFM Si Wafer The film presents deposit islands, and roughness (RMS) is of the order of 0.4 nm. There is no evidence of different surface properties in function of the plasma polymerization conditions. An increase in deposition power corresponded to an increase in film thickness. EDX Si peak at 1,74 kev Treated Wool The presence of silicon in thin film on treated wool was confirmed by its emission peak at 1.74 kev.

7 FT-IR Wool XPS C/Si O/Si HMDSO 3 0,5 P= 20W 1,71 2,25 P= 40W 0,93 2,04 P= 60W 0,64 2,12 KBr 2960cm- 1 ν a (C-H) in CH ν a (Si-O) in Si-O-Si 1260 δ s (CH 3 ) in Si-(CH 3 ) x 840 ρ (CH 3 ) in Si-(CH 3 ) x 800 δ (Si-O) in Si-O-Si pp-hmdso deposited at a) 30W, b) 40W, c) 60W FT-IR and XPS analysis show that the oxigenated structure (Si-O) prevailed on silicon environment (Si-C) relative to the monomer, producing a film with an inorganic character.

8 Pilling Behaviour ISO modified Martindale method Two circular test specimens are subjected to a defined load and rubbed against each other in a movements tracing a Lissjous figure. Fuzzing and pilling are assesed visually with a grading ranging from 5 ( no change) to 1 (pilling) Wet chemical process untreated treated The samples were treated with an aqueous solution (ph 5.5) containing (0,5-2,5% o.w.f) of aminofunctional silicone emulsion (CT-80, Bilab) at 40 C for 20 minutes. All the plasma treated samples at different deposition power showed the same pilling behaviour, and compared with chemically treated and untreated samples, exibited an improvement of about two grades. The plasma polymerized coating slowed down the coming out of the fibres and, consequently, reduced pilling tendency. After normal stress conditions due to washing of fabrics, the reduction of pilling on plasma-treated samples was confirmed.

9 Evaluation of resistance of plasma deposited films to washing Washing test FT-IR and XPS Sede di Biella UNI EN ISO Washing machine: Wascator FOM71MP-Lab from Electrolux Two 8A wash cycle at 30±2 C were used, with total load of 2 kg. Plasma Sample : HMDSO/ O 2 /Ar (40W, 8min, 2 Pa) Chemical Sample: 2.5% o.w.f CT80 for 20 minutes at 40 C In polymerised sample the presence of the coating is confirmed even after 2 washing cycles. XPS analysis of plasma sample show that after washing cycle the C/Si ratio decreases and O/Si ratio does not change. The inorganic character of thin film is not changed but there is a reduction of the coating. In the spectra of treated sample with wet chemical process after 1 washing cycle the absorptions referable to the coating are no longer visible. Plasma sample Chemical sample C/Si O/Si Plasma Sample 1,67 5 After 1 st washing cycle 2,94 5,82

10 Evaluation of resistance of plasma coating to dry-cleaning Dry-Cleaning UNI EN ISO 105-X05 Organic solvent: CCl 4 Dry cycle for 30 minutes at room temperature Plasma Sample: HMDSO/ O 2 /Ar (40W, 8min, 2Pa) Chemical sample:2.5% o.w.f CT80 for 20 minutes at 40 C FT-IR spectrum of the plasma sample shows the absorptions referable to the SiO 2 -like coating even after 10 dry cleaning cycles. In the spectra of chemical sample after 2 dry cycles the absorptions referable to the coating are no longer visible Plasma Sample Chemical Sample.

11 Research group RAFFAELLA MOSSOTTI ALESSIO MONTARSOLO FABIO ROMBALDONI RICCARDO INNOCENTI GIORGIO MAZZUCHETTI

12 Funding and collaborations The team works in cooperation with other italian research groups: CNR-IFP Istituto di Fisica del Plasma P. Caldiroli Milano Politecnico di Torino Future Active works project: Application HITEX (Regione of Piemonte) plasma coating starting from HMDSO or other precursors for anti-soiling and flame resistance purposes both on wool -Industrial and Partners synthetic materials ARA SpA, DE MARTINI SpA, KENOTEC Srl, FILATURA MARCHI SpA, FILATURA DI TRIVERO SpA, LANIFICIO F.LLI CERRUTI Completed projects: LATT (Regione Piemonte) Regione Piemonte Improvement of autochthonous piedmontese wools by means of low pressure plasma treatments.

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

Tailored surface modification of substrates by atmospheric plasma for improved compatibility with specific adhesive Nicolas Vandencasteele

Tailored surface modification of substrates by atmospheric plasma for improved compatibility with specific adhesive Nicolas Vandencasteele 1 oating Plasma Innovation Tailored surface modification of substrates by atmospheric plasma for improved compatibility with specific adhesive Nicolas Vandencasteele 2 Plasma Applications Adhesion improvement

More information

Fibre Friction WHAT IS FIBRE

Fibre Friction WHAT IS FIBRE Fibre Friction WHAT IS FIBRE Fibre is a class of materials that are continuous filaments. Any thing having high length to width ratio. Diameter or width of fibre is negligible which cant be measured. Fibres

More information

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2529-2534 ARGON RF PLASMA TREATMENT OF FILMS FOR SILICON FILMS ADHESION IMPROVEMENT I. A. Rusu *, G. Popa, S. O. Saied

More information

A. Merlo a, A. Cremona b, E. Vassallo b, L. Laguardia b, S. Phani c, J. Steidl d

A. Merlo a, A. Cremona b, E. Vassallo b, L. Laguardia b, S. Phani c, J. Steidl d IMPROVEMENT OF MOISTURE BARRIER PROPERTIES OF CFRP FOR HIGH-PRECISION ENGINEERING APPLICATIONS THROUGH SILICON-LIKE HYBRID FILMS PREPARED BY PLASMA ENHANCED CHEMICAL VAPOUR DEPOSITION A. Merlo a, A. Cremona

More information

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES

1. INTRODUCTION 2. EXPERIMENTAL SET-UP CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES Romanian Reports in Physics, Vol. 57, No. 3, P. 390-395, 2005 CHARACTERIZATION OF A TUBULAR PLASMA REACTOR WITH EXTERNAL ANNULAR ELECTRODES C. PETCU, B. MITU, G. DINESCU National Institute for Lasers,

More information

STSM scientific report

STSM scientific report STSM scientific report Title project: Activation and functionalisation of nonwoven polypropylene by atmospheric pressure plasma Grantee: Nina Radic Host: Milorad Kuraica COST STSM Reference Number: COST-STSM-CM0601-05646

More information

Tutorial on Plasma Polymerization Deposition of Functionalized Films

Tutorial on Plasma Polymerization Deposition of Functionalized Films Tutorial on Plasma Polymerization Deposition of Functionalized Films A. Michelmore, D.A. Steele, J.D. Whittle, J.W. Bradley, R.D. Short University of South Australia Based upon review article RSC Advances,

More information

Trends in plasma applications

Trends in plasma applications 3 International Conference on Frontiers of Plasma Physics and Technology Trends in plasma applications R. Barni Centro PlasmaPrometeo Bangkok 5 March 27 Plasma processing Trends towards atmospheric pressure:

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Abstract... Zusammenfassung... List of abbreviations... I. List of figures... II. List of tables... III. Chapter 1: Introduction

Abstract... Zusammenfassung... List of abbreviations... I. List of figures... II. List of tables... III. Chapter 1: Introduction Zeinab R. F. Mohamed Synthesis and Characterization of Fire-Retardant Layers onto Polyolefin Substrates 2014 / 178 Seiten / 29,80 / ISBN 978-3-89574-873-8 Verlag Dr. Köster, Berlin / www.verlag-koester.de

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

FIBER TEXTILES BY SNOWSOUND FIBER 1 COLOR

FIBER TEXTILES BY SNOWSOUND FIBER 1 COLOR FIBER TEXTILES BY SNOWSOUND 88 FIBER 1 COLOR FIBER TEXTILES BY SNOWSOUND FIBER 1 COLOR WIDTH: 55 FABRIC ROLL SIZE: PHYSICAL PROPERTIES 27.3 YARDS 8.7 YARDS White (8.7 yd) 7F1-8-0001 White (27.3 yd) 7F1-25-0001

More information

THIN FLEXIBLE POLYMER SUBSTRATES COATED BY THICK FILMS IN ROLL-TO-ROLL VACUUM

THIN FLEXIBLE POLYMER SUBSTRATES COATED BY THICK FILMS IN ROLL-TO-ROLL VACUUM ARCOTRONICS INDUSTRIES SpA Via San Lorenzo, 19 40037 Sasso Marconi (BO) Italy Tel. (+39) 051939111 Fax (+39) 051840684 http://www.arcotronics.com THIN FLEXIBLE POLYMER SUBSTRATES COATED BY THICK FILMS

More information

Soluble Precursor of Hexacene and its Application on Thin Film Transistor

Soluble Precursor of Hexacene and its Application on Thin Film Transistor Soluble Precursor of Hexacene and its Application on Thin Film Transistor Supplementary Information Motonori Watanabe, a Wei-Ting Su, b Kew-Yu Chen,* c Ching-Ting Chien, a Ting-Han Chao, a Yuan Jay Chang,

More information

Plasma processes under low and atmospheric pressure.

Plasma processes under low and atmospheric pressure. Plasma processes under low and atmospheric pressure. O.Kylián, J. Hanuš, A. Choukourov, J. Kousal, A. Kuzminova, P. Solar, A. Shelemin, H. Biederman Charles University in Prague Faculty of Mathematics

More information

Nanotechnologies as a key factor in the development of sustainable and cost-effective products. Carla Silva

Nanotechnologies as a key factor in the development of sustainable and cost-effective products. Carla Silva Nanotechnologies as a key factor in the development of sustainable and cost-effective products Carla Silva csilva@centi.pt Summary Some words about CeNTI Nanocomposites synthesis Nanotechnologies for finishing

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY

PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY PLASMA-POLYMER MODIFICATION OF BASAL PLANE GRAPHITE SURFACES FOR IMPROVED BIOCOMPATIBILITY Anca Orăşanu, Marcus R. Davidson, Robert H. Bradley Advanced Materials & Biomaterials Research Centre, School

More information

T: +44 (0) W:

T: +44 (0) W: Ultraviolet Deposition of Thin Films and Nanostructures Ian W. Boyd ETC Brunel University Kingston Lane Uxbridge Middx UB8 3PH UK T: +44 (0)1895 267419 W: etcbrunel.co.uk E: ian.boyd@brunel.ac.uk Outline

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Change in physico-mechanical and thermal properties of polyamide / silica nanocomposite film

Change in physico-mechanical and thermal properties of polyamide / silica nanocomposite film International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 7, Issue 6 (June 2013), PP. 01-05 Change in physico-mechanical and thermal properties

More information

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Graduate school of Engineering, Hori-Sekine Lab. Doctor course student Yusuke Abe Ruhr

More information

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra

Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.5277/oa13114 Influence of RF ICP PECVD process parameters of diamond-like carbon films on DC bias and optical emission spectra WALDEMAR OLESZKIEWICZ 1*,

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

Supplementary information. Derivatization and Interlaminar Debonding of Graphite-Iron Nanoparticles Hybrid

Supplementary information. Derivatization and Interlaminar Debonding of Graphite-Iron Nanoparticles Hybrid Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 2017 Supplementary information Derivatization and Interlaminar Debonding of Graphite-Iron

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

SiOx Barrier Films for Flexible Displays

SiOx Barrier Films for Flexible Displays SiOx Barrier Films for Flexible Displays T. Komori*, H. Kobayashi, and H. Uyama Technical Research Institute, TOPPAN PRINTING CO., LTD. 4-2-3, Takanodai-Mimami, Sugito-machi, Kitakatushika-gun, Saitama-ken

More information

Atmospheric plasma treatment for surface modification of fibre assemblies

Atmospheric plasma treatment for surface modification of fibre assemblies Atmospheric plasma treatment for surface modification of fibre assemblies Aasim Ahmed Research Assistant Textile Research & Innovation Centre Textile Institute of Pakistan 1 Textile Institute of Pakistan

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

POLYFLUOR Fabric Protector (PFOS & PFOA free)

POLYFLUOR Fabric Protector (PFOS & PFOA free) POLYFLUOR Fabric Protector (PFOS & PFOA free) imagine! Resins Grade BS BS 3901 SR NI 3918 3936 Description emulsion emulsion solution Solids 30% 30% 20% 20% 15% 20% Ionicity Cationic Cationic - Non ionic

More information

Polymers 2017; doi: 1. Structural Characterisation of the Prepared Iniferters, BDC and SBDC

Polymers 2017; doi: 1. Structural Characterisation of the Prepared Iniferters, BDC and SBDC S1/S15 Supplementary Materials: Optimisation of surfaceinitiated photoiniferter-mediated polymerisation under confinement, and the formation of block copolymers in mesoporous films Jessica C. Tom 1, Robert

More information

NUCLEAR TRANSMUTATION IN DEUTERED PD FILMS IRRADIATED BY AN UV LASER

NUCLEAR TRANSMUTATION IN DEUTERED PD FILMS IRRADIATED BY AN UV LASER Castellano, et al. Nuclear Transmutation in Deutered Pd Films Irradiated by an UV Laser. in 8th International Conference on Cold Fusion. 2000. Lerici (La Spezia), Italy: Italian Physical Society, Bologna,

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

APPLICATION OF ZEOLITE FAU FOR FLAME- RETARDANT FINISHING OF CELLULOSE

APPLICATION OF ZEOLITE FAU FOR FLAME- RETARDANT FINISHING OF CELLULOSE APPLICATION OF ZEOLITE FAU FOR FLAME- RETARDANT FINISHING OF CELLULOSE Sandra FLINČEC GRGAC 1 ; Andrea KATOVIĆ 2 ; Drago KATOVIĆ 1 1 University of Zagreb, Faculty of Textile Technology, Department of Textile

More information

atmospheric pressure

atmospheric pressure The hydrophobic of SiO x film deposited by the cold plasma arc at atmospheric pressure Zhen Zhou 1, Qiang Chen 1 *, Fuping Liu 1, Zhaoxing Ren 2 1-Laboratory of Plasma Physics and Materials, Beijing Institute

More information

Studies on Furan Polymer Concrete

Studies on Furan Polymer Concrete Studies on Furan Polymer Concrete Rajesh Katiyar 1, Shobhit Shukla 2 1Associate Professor, Department of Chemical engineering, H.B.T.U., Kanpur-208002, India 2Research Scholar, Department of Chemical engineering

More information

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process SUPPORTING INFORMATION Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown on Copper and Its Application to Renewable Transfer Process Taeshik Yoon 1, Woo Cheol Shin 2, Taek Yong Kim 2,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

MODIFICATION OF COTTON MATERIAL WITH PRECURSORS OF SILICON ALKOXIDES FOR IMPROVED FLAME RETARDANCY

MODIFICATION OF COTTON MATERIAL WITH PRECURSORS OF SILICON ALKOXIDES FOR IMPROVED FLAME RETARDANCY MODIFICATION OF COTTON MATERIAL WITH PRECURSORS OF SILICON ALKOXIDES FOR IMPROVED FLAME RETARDANCY Ana Marija Grancaric 1, Anita Tarbuk 1, Lea Botteri 1 University of Zagreb, Faculty of Textile Technology,

More information

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM) Basic Laboratory Materials Science and Engineering Atomic Force Microscopy (AFM) M108 Stand: 20.10.2015 Aim: Presentation of an application of the AFM for studying surface morphology. Inhalt 1.Introduction...

More information

Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment

Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment Mat. Res. Soc. Symp. Vol. 635 2001 Materials Research Society Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment Donglu Shi, Zhou Yu, S. X. Wang 1, Wim J.

More information

Metallurgical and Materials Engineering Department MME 2509 Materials Processing Laboratory SOL-GEL DIP COATING

Metallurgical and Materials Engineering Department MME 2509 Materials Processing Laboratory SOL-GEL DIP COATING Metallurgical and Materials Engineering Department MME 2509 Materials Processing Laboratory SOL-GEL DIP COATING Assist. Prof. Dr. Tolga TAVŞANOĞLU 1. Sol-gel Process Sol-gel process is used for production

More information

Fig. S1 The Structure of RuCE(Left) and RuCA (Right)

Fig. S1 The Structure of RuCE(Left) and RuCA (Right) Supporting information Fabrication of CZTS and CZTSSe photocathode CZTS photocathode was fabricated by sulfurization of a stacked film containing Cu, Zn and Sn. The stacked film was fabricated on Mo coated

More information

Semiconductor Nanocrystals from Nonthermal Plasmas. Rebecca J. Anthony University of Minnesota

Semiconductor Nanocrystals from Nonthermal Plasmas. Rebecca J. Anthony University of Minnesota Semiconductor Nanocrystals from Nonthermal Plasmas Rebecca J. Anthony University of Minnesota 1 Nanocrystals in devices efficient light emitters and absorbers versatile deposition schemes possibility for

More information

In this place, the following terms or expressions are used with the meaning indicated:

In this place, the following terms or expressions are used with the meaning indicated: B05D PROCESSES FOR APPLYING LIQUIDS OR OTHER FLUENT MATERIALS TO SURFACES, IN GENERAL (apparatus for applying liquids or other fluent materials to surfaces B05B, B05C; {coating of foodstuffs A23P 20/17,

More information

Convective Mass Transfer

Convective Mass Transfer Convective Mass Transfer Definition of convective mass transfer: The transport of material between a boundary surface and a moving fluid or between two immiscible moving fluids separated by a mobile interface

More information

SPG Suppliers to the Paper Industry

SPG Suppliers to the Paper Industry Specialty Chemicals for the Paper Industry a p e r M a c h i n e S p e c i a l t y C h e m i c a l s P Pitch Control Felt Treatment Conditioning Wire Passivators Scale Control Biological Control Stickie

More information

EFFECT OF CO 2 LASER RADIATION ON SURFACE PROPERTIES OF SYNTHETIC FIBRES F. Esteves, H. Alonso ABSTRACT

EFFECT OF CO 2 LASER RADIATION ON SURFACE PROPERTIES OF SYNTHETIC FIBRES F. Esteves, H. Alonso ABSTRACT EFFECT OF CO 2 LASER RADIATION ON SURFACE PROPERTIES OF SYNTHETIC FIBRES F. Esteves, H. Alonso ABSTRACT Chemical treatment methods are most often used in the present for polymer surface modification; however,

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given Supplementary Figure 1. Pressure sensor fabrication schematics. Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given in Methods section. (a) Firstly, the sacrificial

More information

Supplementary Information for. Silver Nanoparticles Embedded Anti-microbial Paints Based on Vegetable Oil

Supplementary Information for. Silver Nanoparticles Embedded Anti-microbial Paints Based on Vegetable Oil Supplementary Information for Silver Nanoparticles Embedded Anti-microbial Paints Based on Vegetable Oil Ashavani Kumar #, Praveen Kumar Vemula #, Pulickel M. Ajayan, George John * Department of Chemistry,

More information

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations Robert Bartynski Sylvie Rangan Department of Physics & Astronomy and Laboratory for Surface Modification

More information

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES 1 2 ATMOSPHERIC PRESSURE PLASMA PROCESSES AT THE FRAUNHOFER IST Today, atmospheric pressure plasma

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Design of a new family of catalytic support based on thiol containing plasma polymer films

Design of a new family of catalytic support based on thiol containing plasma polymer films Design of a new family of catalytic support based on thiol containing plasma polymer films Dr. D. Thiry damien.thiry@umons.ac.be Chimie des Interactions Plasma Surface (ChIPS), CIRMAP, University of Mons,

More information

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD University of Pennsylvania ScholarlyCommons Tool Data Browse by Type 2-7-2017 Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD Meredith

More information

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry Alexey Kovalgin Chair of Semiconductor Components MESA+ Institute for Nanotechnology Motivation Advantages of in-situ over

More information

American Society for Testing and Materials Hong Kong Toys Council British Standards Institute TEST REPORT Number: Specimen 001

American Society for Testing and Materials Hong Kong Toys Council British Standards Institute TEST REPORT Number: Specimen 001 Applicant: xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx Date: Jan 05, 2012 XXXXXXXXXXXXXXXXX XXXXXXXXXXXXXX XXXXXXXXXXXXXXXXXXXX XXXXXXXX Attn: ZZZZZZZ Sample Description : Three (3) pieces of submitted sample said

More information

Thin and Ultrathin Plasma Polymer Films and Their Characterization

Thin and Ultrathin Plasma Polymer Films and Their Characterization WDS'13 Proceedings of Contributed Papers, Part III, 134 138, 2013. ISBN 978-80-7378-252-8 MATFYZPRESS Thin and Ultrathin Plasma Polymer Films and Their Characterization M. Petr, O. Kylián, J. Hanuš, A.

More information

Soft X-ray multilayer mirrors by ion assisted sputter deposition

Soft X-ray multilayer mirrors by ion assisted sputter deposition Soft X-ray multilayer mirrors by ion assisted sputter deposition Valentino Rigato INFN Laboratori Nazionali di Legnaro Bologna, September 21, 2010 Source: INFN-LNL-2009 V. RIGATO 1 SIF- Bologna September

More information

Production of Graphite Chloride and Bromide Using Microwave Sparks

Production of Graphite Chloride and Bromide Using Microwave Sparks Supporting Information Production of Graphite Chloride and Bromide Using Microwave Sparks Jian Zheng, Hongtao Liu, Bin Wu, Chong-an Di, Yunlong Guo, Ti Wu, Gui Yu, Yunqi Liu, * and Daoben Zhu Key Laboratory

More information

SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma

SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma SEERS in Sampling Mode A Tool to Investigate Dynamics in Pulsed R.F.-Plasma Rolf-Dieter Schulze, Jörg F. Friedrich, Bundesanstalt für Materialforschung und -prüfung Berlin * Introduction - pulsed r.f.-plasma

More information

Creating New Barriers with Graphene

Creating New Barriers with Graphene Creating New Barriers with Graphene Authors: Richard Akam, Lynn Chikosha & Tim von Werne Introduction Graphene was first isolated in 2004 by Andre Geim and Konstantin Novoselov at Manchester University.

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis Dr. E. A. Leone BACKGRUND ne trend in the electronic packaging industry

More information

Supporting Information. Surface-Enhanced Polymerization via Schiff-base Coupling. at the Solid-Water Interface under ph-control. and Claudio Goletti d

Supporting Information. Surface-Enhanced Polymerization via Schiff-base Coupling. at the Solid-Water Interface under ph-control. and Claudio Goletti d Supporting Information Surface-Enhanced Polymerization via Schiff-base Coupling at the Solid-Water Interface under ph-control Marco Di Giovannantonio, a,b Tomasz Kosmala, a,c Beatrice Bonanni, d Giulia

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 5, pp. 581~585 (2010) J O U R N A L O F Ceramic Processing Research The changing behavior of the dielectric constant of a-sic:h films deposited by remote

More information

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs Electron Beam Curable Varnishes Rapid Processing of Planarization Layers on Polymer Webs Juliane Fichtner, Michaela Hagenkamp, Markus Noss, Steffen Günther Fraunhofer Institute for Organic Electronics,

More information

Supplementary information

Supplementary information Supplementary information Highly Conductive Graphene/Ag Hybrid Fibers for Flexible Fiber-Type Transistors Sang Su Yoon, 1 Kang Eun Lee, 1 Hwa-Jin Cha, 1 Dong Gi Seong, 1 Moon-Kwang Um, 1 Joon Hyung Byun,

More information

Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass

Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass and a reference pattern of anatase TiO 2 (JSPDS No.: 21-1272).

More information

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Defect management and control Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited Background Case study: A maintainer has good hands Only he achieved good yield for every maintenance But... He could

More information

Structure-Thermal Property Correlation of Aligned Silicon. Dioxide Nanorod Arrays

Structure-Thermal Property Correlation of Aligned Silicon. Dioxide Nanorod Arrays Supplementary Material for Structure-Thermal Property Correlation of Aligned Silicon Dioxide Nanorod Arrays S. Dynamic shadowing growth (DSG) technique Figure S depicts a schematic of the DSG setup. For

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Hydrogel Electrolytes Surface Modified Eggshell Membrane. Separators in All-Solid-State Supercapacitors with. Thickness Dependent Performances

Hydrogel Electrolytes Surface Modified Eggshell Membrane. Separators in All-Solid-State Supercapacitors with. Thickness Dependent Performances Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 216 Hydrogel Electrolytes Surface Modified Eggshell Membrane Separators in All-Solid-State

More information

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films

Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid Solution on Polymer Films Journal of Sol-Gel Science and Technology 13, 409 413 (1998) c 1998 Kluwer Academic Publishers. Manufactured in The Netherlands. Coating of Tetraethylorthosilicate (TEOS)/Vinyltriethoxysilane (VTES) Hybrid

More information

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe International Training Program Queen s University Belfast Dept. Energy Sciences Tokyo Institute of Technology

More information

Diagnostics of low and atmospheric pressure plasmas by means of mass spectrometry

Diagnostics of low and atmospheric pressure plasmas by means of mass spectrometry Diagnostics of low and atmospheric pressure plasmas by means of mass spectrometry J. Benedikt, D. Ellerweg, A. von Keudell Research Department Plasmas with Complex Interactions Ruhr-University Bochum,

More information

CHEMICAL VAPOR DEPOSITION (CVD)

CHEMICAL VAPOR DEPOSITION (CVD) CHEMICAL VAPOR DEPOSITION (CVD) A process of formation of a non-volatile solid film on a substrate from the reaction of vapor phase chemical reactants containing the right proportion of constituents. ELEMETRY

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement

Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement Surface modification of polyethylene terephthalate (PET) and oxide coated PET for adhesion improvement AIMCAL 2016 Dresden Juliane Fichtner Tobias Beck Frank Simon (IPF, Dresden) page 1 Motivation oxygen

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Pre-seeding -assisted synthesis of high performance polyamide-zeolite nanocomposie membrane for water purification

Pre-seeding -assisted synthesis of high performance polyamide-zeolite nanocomposie membrane for water purification Electronic Supporting Information: Pre-seeding -assisted synthesis of high performance polyamide-zeolite nanocomposie membrane for water purification Chunlong Kong, a Takuji Shintani b and Toshinori Tsuru*

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 2015 Supplementary Information Vertical Heterostructures of MoS2 and Graphene Nanoribbons

More information

Electrostatic Properties and Characterization of Textile Materials Affected by Ion Flux

Electrostatic Properties and Characterization of Textile Materials Affected by Ion Flux ISSN 1392 1320 MATERIALS SCIENCE (MEDŽIAGOTYRA). Vol. 19, No. 1. 2013 Electrostatic Properties and Characterization of Textile Materials Affected by Ion Flux Pranas Juozas ŽILINSKAS 1, Tadeuš LOZOVSKI

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Polímeros: Ciência e Tecnologia ISSN: Associação Brasileira de Polímeros Brasil

Polímeros: Ciência e Tecnologia ISSN: Associação Brasileira de Polímeros Brasil Polímeros: iência e Tecnologia SSN: 0104-1428 abpol@abpol.org.br Associação Brasileira de Polímeros Brasil Filho Nascimento, Antonio P.; Silva, Maria L. P.; Demarquette, Nicole R. Polymer production by

More information

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS

ABSTRACT COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS ABSTRACT Title: COMPARISON OF ADVANCED RESIST ETCHING IN E-BEAM GENERATED PLASMAS Bryan J. Orf, Masters of Science, 2006 Directed By: Professor Gottlieb S. Oehrlein, Department of Material Science and

More information

Test Method of Specified Requirements of FTTS-FA-010. Far Infrared Textiles

Test Method of Specified Requirements of FTTS-FA-010. Far Infrared Textiles Test Method of Specified Requirements of FTTS-FA-010 Textiles FTTS-FA-010 Textiles Far-infrared is one band of the solar electromagnetic light-wave ranging in wavelength from 3μm to 1000 μm. Far-infrared

More information

FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS

FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS Romanian Reports in Physics, Vol. 68, No. 3, P. 1108 1114, 2016 FTIR INVESTIGATION OF THE AGEING PROCESS OF CARBON NANOWALLS V. MĂRĂSCU 1, 2,*, S. VIZIREANU 2, S. D. STOICA 2, V. BARNA 1, A. LAZEA- STOYANOVA

More information

Surface Modification Studies by Atomic Force Microscopy for Ar-Plasma Treated Polyethylene

Surface Modification Studies by Atomic Force Microscopy for Ar-Plasma Treated Polyethylene Macromolecular Research, Vol. 10, No. 5, pp 291-295 (2002) Notes Surface Modification Studies by Atomic Force Microscopy for Ar-Plasma Treated Polyethylene Eun-Deock Seo Division of Chemical Engineering,

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* Junqing Lu and Mark J. Kushner Department of Electrical and Computer Engineering at Urbana-Champaign mjk@uiuc.edu, jqlu@uiuc.edu

More information