Novel Photo Resist Stripping for Single Wafer Process

Size: px
Start display at page:

Download "Novel Photo Resist Stripping for Single Wafer Process"

Transcription

1 Solid State Phenomena Vols (2005) pp Online available since 2005/Apr/01 at (2005) Trans Tech Publications, Switzerland doi: / Novel Photo Resist Stripping for ngle Wafer Process Atsushi Okuyama 1,a, Kazumi Asada 1, Hitoshi Abe 1, Hayato Iwamoto 1, Yoshio Okamoto 2 and Takuya Wada 2 1 Semiconductor Solutions Network Company Sony Corporation Asahi-cho, Atsugi-shi, Kanagawa, Japan 2 Semiconductor Equipment Company DAINIPPON SCREEN MFG. CO., LTD , Mikami, Yasu-cho, Yasu-gun, Shiga , Japan a Atsushi.Okuyama@jp.sony.com Keywords: resist stripping, single wafer process, high dose ion implantation, non ashing Introduction It is common to use the mixed-solution of H 2 SO 4 and H 2 O 2 (SPM : Sulfuric Peroxide Mixture) for dip process after ashing at the stripping process of the high dose ion implanted photo resist [1, 2]. However, the attack on a substrate by ASH+WET is posing problems to high performance devices [3]. Figure 1 shows the mechanism of the attack on a substrate by ASH+WET and the dose-profile in the depth direction of the ion implanted substrate was shown in Figure 2. The ion implanted substrate surface is attacked by oxidization by ashing, and O 2 etching by wet cleaning, and, as a result, the dose-loss arises. Figure 2 expresses dose-loss by ASH+WET arised in one process, and the extent of attack to a substrate becomes still larger by repeating this process. On the other hand, it is also required to strip resist by single process in order to deal with wafers of large diameter and a small quantity of multi-kind conditions from the viewpoint of cost and environment. For the purpose of fulfilling these requirements, the resist stripping method of controlling the consumption of the chemicals, and having a high stripping performance by single wafer process (following SHARK cleaning : SH Advanced Resist Killer) was developed. Experimental The reactions are as follows in SPM : H 2 SO 4 + H 2 O 2 => H 2 SO 5 + H 2 O The resist stripping performance has correlation with the amount of generation and reactivity of H 2 SO 5 (peroxosulfuric acid). Although it is difficult to measure the amount of generation of H 2 SO 5 directly because of the dependence on H 2 O 2 concentration, concentration change of H 2 O 2 in SPM solution was investigated (Figure 3). Although the concentration of H 2 O 2 is low in the conventional dip process (several hours passed after mixture of chemicals), it is expected that a higher stripping performance is obtained by using SPM solution with high concentration just after mixture of chemicals. SHARK cleaning is the resist stripping method aimed at supplying H 2 SO 5 generated in the very high state on a wafer by mixing the chemicals just before the supply. Chemicals are made to react efficiently by the chemicals mixture part attached just before a nozzle, as shown in Figure 4. The samples which are implanted with various ions and the amounts of dose into patterned i-line resist were used for evaluation. For SHARK cleaning, it processed in order of Figure 5 using the cleaning equipment of a single wafer spray system. Moreover, the concentration of H 2 SO 4 and H 2 O 2 used for SHARK is 96% and 31%, respectively. All rights reserved. No part of contents of this paper may be reproduced or transmitted in any form or by any means without the written permission of TTP, (ID: , Pennsylvania State University, University Park, United States of America-04/06/14,08:42:31)

2 298 Ultra Clean Processing of licon Surfaces VII Firstly, the way of mixing chemicals, the rotation rate of a wafer, the mixture ratio of chemicals, the flow rate of chemicals, the temperature of the chemicals, the supply method, the shape of a supply nozzle, etc. were changed and the optimal condition in SHARK was found. Secondly, the number of the resist residue was investigated in order to compare the resist stripping performance of the optimal condition of the SHARK, and that of the conventional dip process. Thirdly, in order to estimate the amount of attack on a substrate by SHARK cleaning or conventional process (Ashing+SPM), the change of O 2 thickness before and after these processes was investigated. Results and Discussion As a poiont of mixing chemicals, on a wafer, in a nozzle, just before a nozzle, etc. were compared. Consequently, the point which showed the highest stripping performance was the mixture just before a nozzle which can mix chemicals most efficiently. The example of an optimization result is shown in Figure 6. Figure 6 (a) is the plot of the number of resist residue as a function of the mixture ratio of H 2 SO 4 and H 2 O 2. If the mixture ratio shifts from an optimal condition, the stripping performance becomes worse because one of chemicals remains and it doesn t react efficiently. Figure 6 (b) is the plot of the number of resist residue as a function of the rotation speed of the wafer during chemicals supply. Although the stripping performance improves when the fresh chemicals just after mixture are continuously supplied by rotating a wafer at high speed so that the chemicals are shaken off from a wafer, if the rotation speed is too high, the chemicals are shaken off before reacting with the resist, and the resist stripping performance becomes worse. Figure 6 (c) is the plot of the number of resist residue as a function of the flow rate of the chemicals. When the total amount of the chemicals was fixed and the flow rate was changed, it turns out that the resist stripping performance is saturated by the optimal flow rate. It is expected that this optimal flow rate is the flow rate at which the chemicals contribute to a resist stripping reaction most efficiently. Figure 6 (d) is the plot of the number of resist residue as a function of the temperature of the chemicals. Although the resist stripping performance improves as temperature of chemicals becomes high as expected, when the temperature reaches a certain point, it turns out that the resist stripping performance is saturated. The stripping performance evaluation results for the resist with various implantation doses by the optimal condition of SHARK are shown in Table I (a). On the other hand, the results by the conventional dip process are shown in Table I (b). It is shown that the stripping performance of SHARK is higher than conventional dip process. The number of the resist residue with implants ion of 1E15 dose after SHARK and conventional dip process were compared (Figure 7). The resist with implants ion of 1E15 dose which has not been stripped by conventional dip process could be stripped by SHARK. This is the effect of highly reactive H 2 SO 5 by supplying chemicals just after mixture. The thickness change of O 2 before and after resist stripping and after-stripping treatment was compared between SHARK and ashing+conventional process(spm) (Figure 8). Although the difference in each final thickness of O 2 is not so large, it is shown that the thickness after ashing+conventional is thicker than that after SHARK. This thickness difference suggests that a substrate was attacked by oxidation from ashing and O 2 etching from after-stripping treatment (Figure 1). Conclusions We established a novel photo resist stripping method for single wafer process (SHARK cleaning). SHARK can strip high dose ion implanted resist (over 1E15) by non ashing because of highly reactive H 2 SO 5 by mixing chemicals just before supplying on a wafer.

3 Solid State Phenomena Vols References [1] M.Itano et al; IEEE Trans. On Semicond.Manufact., 6 (3), pp , [2] M.M. Heyns et al; Proc. AMDP, March , pp.59-66, Sendai, Japan. [3] K.Hirose et al; Ion-implanted photoresist and damage-free stripping, J. Electrochem. Soc., Vol.141, No.1, pp , Ashing Wet O 2 O 2 Figure 1: The Mechanism of the Attack for a Substrate. O 2 Attack for a Substrate Ion Conc.(/cm3) Dose-Profile of the Ion Implanted substrate Low Concentrations High SHARK H2SO4 H2O2 Conventional (SPM) Depth (nm) Dose-Loss by Ash.+Wet Figure 2: Dose-Profile of the Ion Implanted Substrate. H 2 O 2 H 2 SO 4 Mixture Part Nozzle H 2 SO 4 / H 2 O 2 Substrate Figure 4: The Schematic of SHARK Cleaning. Figure 3: Concentration Change of H 2 SO 4 and H 2 O 2 as a Function of Elapsed Time. SHARK Hot DIW DIW Dry Elapsed Time APM DIW Figure 5: The Process Flow of SHARK Cleaning. 100 H2SO4 0 0 H2O2 100 (a) Mixture Ratio Low Rotation Speed High (b) Rotation Speed

4 300 Ultra Clean Processing of licon Surfaces VII Low Chem. Flow Rate High Low Chem. Temperature High (c) Flow Rate (d) Temperature Figure 6: Mixture Ratio of H 2 SO 4 and H 2 O 2 (a) and Rotation Speed of Wafer (b) and Chemical Flow Rate (c) and Temperature of Chemicals (d) for Resist Stripping. Table I: Resist Stripping Performances by SHARK Cleaning (a) and Conventional (b). Implant Ion (a) SHARK Implant Dose 1E+13 1E14 Implant Dose 1E15 1E+13 1E14 1E15 B B Implant Ion (b) Conventional (SPM) P P As As (a) SHARK (b) Conventional (SPM) Figure 7: Stripping Results of Ion Implant Resist (As-1E15) by SHARK (a) and Conventional (b). SHARK Cleaning Ashing+Conventional(SPM) O2 Thickness(nm) Initial Ashing SHARK APM or SPM Figure 8: The change of O 2 Thickness by SHARK and Ashing+Conventional (SPM).

5 Ultra Clean Processing of licon Surfaces VII / Novel Photo Resist Stripping for ngle Wafer Process /

Evaluation of the plasmaless gaseous etching process

Evaluation of the plasmaless gaseous etching process Solid State Phenomena Vol. 134 (28) pp 7-1 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.7 Evaluation of the plasmaless

More information

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium Solid State Phenomena Vols. 145-146 (2009) pp 285-288 Online available since 2009/Jan/06 at www.scientific.net (2009) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.145-146.285

More information

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e Solid State Phenomena Vol. 134 (28) pp 263266 Online available since 27/Nov/2 at www.scientific.net (28) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.134.263 Study of static electricity

More information

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a Solid State Phenomena Vols. 14-146 (29) pp 249-22 Online available since 29/Jan/6 at www.scientific.net (29) Trans Tech Publications, Switzerland doi:.428/www.scientific.net/ssp.14-146.249 Evaluation of

More information

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface Solid State Phenomena Online: 24926 ISSN: 6629779, Vol. 29, pp 58 doi:.428/www.scientific.net/ssp.29.5 25 Trans Tech Publications, Switzerland Impact of electrostatic effects on wet etching phenomenon

More information

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon Solid State Phenomena Vols. 18-19 (25) pp 621-626 Online available since 25/Dec/15 at www.scientific.net (25) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.18-19.621 Infrared Absorption

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist 1/18 Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist Jeffery W. Butterbaugh 7 FSI International, 3455 Lyman Blvd., Chaska, MN 55318 USA jeff.butterbaugh@fsi-intl.com Outline 2/18

More information

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz Solid State Phenomena Vol. 113 (2006) pp 603-608 Online available since 2006/Jun/15 at www.scientific.net (2006) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.113.603 The Mechatronics

More information

A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning

A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning A Study of Removing Scan Damage on Advanced ArFPSM Mask by Dry Treatment before Cleaning Irene Shi, Eric Guo, Max Lu, Sandy Qian, RivanLi Semiconductor Manufacturing International Corp. 18 ZhangjiangRoad,

More information

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France olid tate Phenomena Vol. 134 (2008) pp 79-82 Online available since 2007/ov/20 at www.scientific.net (2008) Trans Tech Publications, witzerland doi:10.4028/www.scientific.net/p.134.79 Peracetic acid as

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

The Biological Effect of Iron Oxide and its Hydrate Nanoparticles

The Biological Effect of Iron Oxide and its Hydrate Nanoparticles Solid State Phenomena Vols. 121-123 (2007) pp 735-738 Online available since 2007/Mar/15 at www.scientific.net (2007) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.121-123.735

More information

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation Solid State Phenomena Vols. 97-98 (2004) pp 181-184 (2004) Trans Tech Publications, Switzerland Journal doi:10.4028/www.scientific.net/ssp.97-98.181 Citation (to be inserted by the publisher) Copyright

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing Advanced Materials Research Online: 2012-06-14 ISSN: 1662-8985, Vols. 538-541, pp 23-28 doi:10.4028/www.scientific.net/amr.538-541.23 2012 Trans Tech Publications, Switzerland Research and Development

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Dielectric Characteristics of Polyimides Modified by Additions of C 60 -Fullerene

Dielectric Characteristics of Polyimides Modified by Additions of C 60 -Fullerene Solid State Phenomena Vols. 99-100 (2004) pp 157-160 nline available since 2004/Jul/31 at www.scientific.net (2004) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.99-100.157 Dielectric

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Kinetic Monte Carlo simulation of semiconductor quantum dot growth

Kinetic Monte Carlo simulation of semiconductor quantum dot growth Solid State Phenomena Online: 2007-03-15 ISSN: 1662-9779, Vols. 121-123, pp 1073-1076 doi:10.4028/www.scientific.net/ssp.121-123.1073 2007 Trans Tech Publications, Switzerland Kinetic Monte Carlo simulation

More information

Development status of back-end process for UV-NIL template fabrication

Development status of back-end process for UV-NIL template fabrication Development status of back-end process for UV-NIL template fabrication Yuichi Inazuki, Kimio Itoh, Sho Hatakeyama, Kouichirou Kojima, Masaaki Kurihara, Yasutaka Morikawa, Hiroshi Mohri and Naoya Hayashi

More information

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Evaluation of Cleaning Methods for Multilayer Diffraction Gratings Introduction Multilayer dielectric (MLD) diffraction gratings are essential components for the OMEGA EP short-pulse, high-energy laser

More information

Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application

Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application FFeature Article Article Industrial In-line and Multi Component Monitor Using Absorption Spectroscopy and Its Application Yoko NAKAI HORIBA s CS-Series chemical concentration monitors that use ultraviolet

More information

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited (12) United States Patent USOO7144673B2 (10) Patent No.: US 7,144.673 B2 Chen et al. (45) Date of Patent: Dec. 5, 2006 (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited PROCESS FOR HIGH DOSAGE

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage Wes Lukaszek Wafer Charging Monitors, Inc. 127 Marine Road, Woodside, CA 94062 tel.: (650) 851-9313, fax.: (650) 851-2252,

More information

Friction Drive Simulation of a SAW Motor with Slider Surface Texture Variation

Friction Drive Simulation of a SAW Motor with Slider Surface Texture Variation Advances in Science and Technology Vol. 54 (28) pp 366-371 online at http://www.scientific.net (28) Trans Tech Publications, Switzerland Online available since 28/Sep/2 Friction Drive Simulation of a SAW

More information

Competitive Advantages of Ontos7 Atmospheric Plasma

Competitive Advantages of Ontos7 Atmospheric Plasma Competitive Advantages of Ontos7 Atmospheric Plasma Eric Schulte Matt Phillips Keith Cooper SETNA Proprietary 1 Advantages of Ontos7 Atmospheric Plasma Process over Vacuum RIE Plasma for Die/Wafer Surface

More information

Two-Temperature EPR Measurements of Multi-Walled Carbon Nanotubes. Paweł Szroeder, Franciszek Rozpłoch and Waldemar Marciniak

Two-Temperature EPR Measurements of Multi-Walled Carbon Nanotubes. Paweł Szroeder, Franciszek Rozpłoch and Waldemar Marciniak Solid State Phenomena Vol. 94 (2003) pp 275-278 (2003) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.94.275 Two-Temperature EPR Measurements of Multi-Walled Carbon Nanotubes Paweł

More information

Magnetic Field Characteristics of the Flat Type Electro-Magnetic Barrel Finishing Machine O. Sugiura

Magnetic Field Characteristics of the Flat Type Electro-Magnetic Barrel Finishing Machine O. Sugiura Key Engineering Materials Online: 2004-02-15 ISSN: 1662-9795, Vols. 257-258, pp 515-520 doi:10.4028/www.scientific.net/kem.257-258.515 2004 Trans Tech Publications, Switzerland Magnetic Field Characteristics

More information

A Research on High-Precision Strain Measurement Based on FBG with Temperature Compensation Zi Wang a, Xiang Zhang b, Yuegang Tan c, Tianliang Li d

A Research on High-Precision Strain Measurement Based on FBG with Temperature Compensation Zi Wang a, Xiang Zhang b, Yuegang Tan c, Tianliang Li d Advanced Materials Research Submitted: 214-1-31 ISSN: 1662-8985, Vol 183, pp 121-126 Accepted: 214-11-3 doi:1428/wwwscientificnet/amr183121 Online: 215-1-12 215 Trans Tech Publications, Switzerland A Research

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Author Pan, Yue, M. Collins, Aaron, Algahtani, Fahid, W. Leech, Patrick, K. Reeves, Geoffrey, Tanner,

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

FEATURE ARTICLE. The CS-100 Series High-precision Chemical Solution Monitor in the Semiconductor Cleaning Process. Takaaki Yada.

FEATURE ARTICLE. The CS-100 Series High-precision Chemical Solution Monitor in the Semiconductor Cleaning Process. Takaaki Yada. FEATURE ARTICLE The CS-100 Series High-precision Chemical Solution Monitor in the Semiconductor Cleaning Process Takaaki Yada Cleaning Cleaning Cleaning Cleaning Wet process Wet Etching process SPM Organic

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Measuring and Analyzing the Moment of Friction in Double-Row Ball Bearings Robert Korbut 1,a, Jerzy Nachimowicz 1,b

Measuring and Analyzing the Moment of Friction in Double-Row Ball Bearings Robert Korbut 1,a, Jerzy Nachimowicz 1,b Solid State Phenomena Online: 2013-03-18 ISSN: 1662-9779, Vol. 199, pp 149-154 doi:10.4028/www.scientific.net/ssp.199.149 2013 Trans Tech Publications, Switzerland Measuring and Analyzing the Moment of

More information

Modelling of the Ultrasonic Shot Peening Process. C. Pilé, M. François, D. Retraint, E. Rouhaud and J. Lu

Modelling of the Ultrasonic Shot Peening Process. C. Pilé, M. François, D. Retraint, E. Rouhaud and J. Lu Materials Science Forum Online: 5-7-15 ISSN: 1-975, Vols. 9-91, pp 7-7 doi:1.8/www.scientific.net/msf.9-91.7 5 Trans Tech Publications, Switzerland Modelling of the Ultrasonic Shot Peening Process C. Pilé,

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Study on Furfural Production from Hemicelluloses Bin Shen 1,a, Yanxue Liu 1,a,Yuxin Liu 1,a*, Jinhui Peng 1,a

Study on Furfural Production from Hemicelluloses Bin Shen 1,a, Yanxue Liu 1,a,Yuxin Liu 1,a*, Jinhui Peng 1,a Advanced Materials Research Online: 2013-05-14 ISSN: 1662-8985, Vols. 690-693, pp 1382-1385 doi:10.4028/www.scientific.net/amr.690-693.1382 2013 Trans Tech Publications, Switzerland Study on Furfural Production

More information

INFLUENCE OF CARBON NANOFIBERS AND PIEZOELECTRIC PARTICLES ON THE THERMOMECHANICAL BEHAVIOR OF EPOXY MIXTURES

INFLUENCE OF CARBON NANOFIBERS AND PIEZOELECTRIC PARTICLES ON THE THERMOMECHANICAL BEHAVIOR OF EPOXY MIXTURES Solid State Phenomena Vols. 121-123 (2007) pp 1419-1424 Online: 2007-03-15 (2007) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.121-123.1419 INFLUENCE OF CARBON NANOFIBERS AND

More information

Hybrid Wafer Level Bonding for 3D IC

Hybrid Wafer Level Bonding for 3D IC Hybrid Wafer Level Bonding for 3D IC An Equipment Perspective Markus Wimplinger, Corporate Technology Development & IP Director History & Roadmap - BSI CIS Devices???? 2013 2 nd Generation 3D BSI CIS with

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013351 TITLE: The Effects of Plasma Induced Damage on the Channel Layers of Ion Implanted GaAs MESFETs during Reactive Ion Etching

More information

Influence of pulp ph on flotation of fluorite and calcite

Influence of pulp ph on flotation of fluorite and calcite Advanced Materials Research Online: 2012-12-13 ISSN: 1662-8985, Vols. 616-618, pp 614-618 doi:10.4028/www.scientific.net/amr.616-618.614 2013 Trans Tech Publications, Switzerland Influence of pulp on flotation

More information

Analysis of Ion Implantation Profiles for Accurate Process/Device Simulation: Analysis Based on Quasi-Crystal Extended LSS Theory

Analysis of Ion Implantation Profiles for Accurate Process/Device Simulation: Analysis Based on Quasi-Crystal Extended LSS Theory Analysis of Ion Implantation Profiles for Accurate Process/Device Simulation: Analysis Based on Quasi-Crystal xtended LSS Theory Kunihiro Suzuki (Manuscript received December 8, 9) Ion implantation profiles

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist. Technical Report ZEP52 ZEP52 Ver.1.2 Mar.21 ZEONREX Electronic Chemicals High Resolution Positive Electron Beam Resist ZEP52 ZEON CORPORATION Specialty Materials Division Headquarters R&D Center Furukawa

More information

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 1998 DRY PROCESS SYMPOSIUM VI - 3 Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4 Naoki Mitsugi, Kaori Shima, Masumi Ishizuka and Hirotoshi Nagata New Technology Research Laboratories,

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications D. Tsoukalas, S. Kolliopoulou, P. Dimitrakis, P. Normand Institute of Microelectronics, NCSR Demokritos, Athens, Greece S. Paul,

More information

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry Yoko Tada Kunihiro Suzuki Yuji Kataoka (Manuscript received December 28, 2009) As complementary metal oxide

More information

Effects of High Energy Radiation on Mechanical Properties of PP/EPDM Nanocomposite

Effects of High Energy Radiation on Mechanical Properties of PP/EPDM Nanocomposite Advanced Materials Research Vols. 264-265 (2011) pp 738-742 Online available since 2011/Jun/30 at www.scientific.net (2011) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amr.264-265.738

More information

Particle Removal on Silicon Wafer Surface by Ozone-HF-NH 4

Particle Removal on Silicon Wafer Surface by Ozone-HF-NH 4 Korean Chem. Eng. Res., Vol. 45, No. 2, April, 2007, pp. 203-207 -jo- g g oi m lmœ Ž m mm o m Ç m (t)e l 730-724 e p 283 (2006 10o 18p r, 2006 12o 7p }ˆ) Particle Removal on Silicon Wafer Surface by Ozone-HF-NH

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device Hyung-Seop Kim, Yong Min Cho, Byoung-Ho Lee Semiconductor R&D Center, Device Solution Business, Samsung

More information

Effect of Incoming Quality of Sulfuric Acid on Inline Defects

Effect of Incoming Quality of Sulfuric Acid on Inline Defects Effect of Incoming Quality of Sulfuric Acid on Inline Defects Dhiman Bhattacharyya*, Sushil Patil, Mark Conrad, Hayley Manning, Fauzia Khatkhatay, Alexander Mena and Norberto DeOliveira March 28, 2017

More information

Ion Implantation ECE723

Ion Implantation ECE723 Ion Implantation Topic covered: Process and Advantages of Ion Implantation Ion Distribution and Removal of Lattice Damage Simulation of Ion Implantation Range of Implanted Ions Ion Implantation is the

More information

Comparison of Base Shear Force Method in the Seismic Design Codes of China, America and Europe

Comparison of Base Shear Force Method in the Seismic Design Codes of China, America and Europe Applied Mechanics and Materials Vols. 66-69 (202) pp 2345-2352 Online available since 202/May/4 at www.scientific.net (202) Trans Tech Publications, Switzerland doi:0.4028/www.scientific.net/amm.66-69.2345

More information

Keywords: Contoured side-walls, design, experimental, laminar boundary layer, numerical, receptivity, stability, swept wing, wind tunnel.

Keywords: Contoured side-walls, design, experimental, laminar boundary layer, numerical, receptivity, stability, swept wing, wind tunnel. Applied Mechanics and Materials Vol. 390 (2013) pp 96-102 Online available since 2013/Aug/30 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.390.96

More information

Development of a nanostructural microwave probe based on GaAs

Development of a nanostructural microwave probe based on GaAs Microsyst Technol (2008) 14:1021 1025 DOI 10.1007/s00542-007-0484-0 TECHNICAL PAPER Development of a nanostructural microwave probe based on GaAs Y. Ju Æ T. Kobayashi Æ H. Soyama Received: 18 June 2007

More information

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures Jun Yan *, Davoud Zamani *, Bert Vermeire +, Farhang Shadman * * Chemical Engineering, University of Arizona

More information

A Compound Semiconductor Process Simulator and its

A Compound Semiconductor Process Simulator and its VLSI DESIGN 1998, Vol. 6, Nos. (1--4), pp. 393-397 Reprints available directly from the publisher Photocopying permitted by license only (C) 1998 OPA (Overseas Publishers Association) N.V. Published by

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI

Chapter 2. The Well. Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance. Baker Ch. 2 The Well. Introduction to VLSI Chapter 2 The Well Cross Sections Patterning Design Rules Resistance PN Junction Diffusion Capacitance Joseph A. Elias, Ph.D. Adjunct Professor, University of Kentucky; Modeling MTS, Cypress Semiconductor

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Photolithography II ( Part 1 )

Photolithography II ( Part 1 ) 1 Photolithography II ( Part 1 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Electrical Characterization of PiN Diodes with p + layer Selectively Grown by VLS Transport

Electrical Characterization of PiN Diodes with p + layer Selectively Grown by VLS Transport Materials Science Forum Online: 2013-01-25 ISSN: 1662-9752, Vols. 740-742, pp 911-914 doi:10.4028/www.scientific.net/msf.740-742.911 2013 Trans Tech Publications, Switzerland Electrical Characterization

More information

Determination of trace elements in ultrapure semiconductor grade sulfuric acid using the Agilent 8900 ICP-QQQ in MS/MS mode

Determination of trace elements in ultrapure semiconductor grade sulfuric acid using the Agilent 8900 ICP-QQQ in MS/MS mode Determination of trace elements in ultrapure semiconductor grade sulfuric acid using the Agilent 8900 ICP-QQQ in MS/MS mode Application note Semiconductor Authors Michiko Yamanaka, Kazuo Yamanaka and Naoki

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th ECE611 / CHE611: Electronic Materials Processing Fall 017 John Labram Solutions to Homework Due at the beginning of class Thursday October 19 th Question 1 [3 marks]: a) Piranha solution consists of a

More information

Study of Ultra-clean Surface for Niobium SC Cavities

Study of Ultra-clean Surface for Niobium SC Cavities KEK Preprint 94-5 April 1994 A Study of Ultra-clean Surface for Niobium SC Cavities K. SAITO, H. MIWA, K. KUROSAWA, P. KNEISEL, S. NOGUCHI, E. KAKO, M. ONO, T. SHISHIDO and T. SUZUKI Contributed to the

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

The Simulation of Dropped Objects on the Offshore Structure Liping SUN 1,a, Gang MA 1,b, Chunyong NIE 2,c, Zihan WANG 1,d

The Simulation of Dropped Objects on the Offshore Structure Liping SUN 1,a, Gang MA 1,b, Chunyong NIE 2,c, Zihan WANG 1,d Advanced Materials Research Online: 2011-09-02 ISSN: 1662-8985, Vol. 339, pp 553-556 doi:10.4028/www.scientific.net/amr.339.553 2011 Trans Tech Publications, Switzerland The Simulation of Dropped Objects

More information

Bi2212 High Temperature Superconductors Prepared by the Diffusion Process for Current Lead Application

Bi2212 High Temperature Superconductors Prepared by the Diffusion Process for Current Lead Application Proc. Schl. Eng. Tokai Univ., Ser. E 33(28)35-4 Bi2212 High Temperature Superconductors Prepared by the Diffusion Process for Current Lead Application by Takuya Shimohiro *1, Takayuki Hori *1, Yutaka Yamada

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

1. Introduction. 2. Equipment. 3. Chemicals and Materials

1. Introduction. 2. Equipment. 3. Chemicals and Materials 1. Introduction Nitrogen and protein determination in food and feed are described in numerous standard procedures [1-3]. Therein, the titration specifications vary between boric acid and back titration.

More information

Introduction CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING. Authors: Christopher Wacinski and Wiley Wilkinson

Introduction CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING. Authors: Christopher Wacinski and Wiley Wilkinson APPLICATION NOTE CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING Authors: Christopher Wacinski and Wiley Wilkinson Introduction CR-288 improves process efficiency for BEOL because tighter process

More information

Covariance Tracking Algorithm on Bilateral Filtering under Lie Group Structure Yinghong Xie 1,2,a Chengdong Wu 1,b

Covariance Tracking Algorithm on Bilateral Filtering under Lie Group Structure Yinghong Xie 1,2,a Chengdong Wu 1,b Applied Mechanics and Materials Online: 014-0-06 ISSN: 166-748, Vols. 519-50, pp 684-688 doi:10.408/www.scientific.net/amm.519-50.684 014 Trans Tech Publications, Switzerland Covariance Tracking Algorithm

More information

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES Tomomi Murakami 1*, Takashi Fukada 1 and Woo Sik Yoo 2 1 WaferMasters Service Factory, 2020-3 Oaza Tabaru, Mashiki, Kamimashiki,

More information

HIGH-PERFORMANCE PERFLUORINATED POLYMER ELECTRET FILM FOR MICRO POWER GENERATION

HIGH-PERFORMANCE PERFLUORINATED POLYMER ELECTRET FILM FOR MICRO POWER GENERATION HIGH-PERFORMANCE PERFLUORINATED POLYMER ELECTRET FILM FOR MICRO POWER GENERATION Yoshihiko Sakane 1*, Yuji Suzuki 2, and Nobuhide Kasagi 2 1 Chemicals Company, Research & Development Div., Asahi Glass

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2010 Lecture Outline EE C245 ME C28 Introduction to MEMS Design Fall 200 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

The Linear Relationship between Concentrations and UV Absorbance of Nitrobenzene

The Linear Relationship between Concentrations and UV Absorbance of Nitrobenzene Advanced Materials Research Online: 2014-06-18 ISSN: 1662-8985, Vols. 955-959, pp 1376-1379 doi:10.4028/www.scientific.net/amr.955-959.1376 2014 Trans Tech Publications, Switzerland The Linear Relationship

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Ion Implant Part 1 Chapter 17: Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra,, Norwegian University of Science and Technology ( NTNU ) 2 Objectives

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Spring 2006 EE143 Midterm Exam #1 Family Name First name SID Signature Make sure the exam paper

More information

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment vyuji Kataoka vmayumi Shigeno vyoko Tada vkazutoshi Yamazaki vmasataka

More information

Chapter 8 Ion Implantation

Chapter 8 Ion Implantation Chapter 8 Ion Implantation 2006/5/23 1 Wafer Process Flow Materials IC Fab Metalization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information