Graphene Growth and Device Integration

Size: px
Start display at page:

Download "Graphene Growth and Device Integration"

Transcription

1 INVITED PAPER Graphene Growth and Device Integration This paper describes one of the emerging methods for growing graphenevthe chemical vapor deposition methodvwhich is based on a catalytic reaction between a carbon precursor and a metal substrate such as Ni, Cu, and Ru, to name a few. By Luigi Colombo, Fellow IEEE, Robert M. Wallace, Fellow IEEE, androdneys.ruoff ABSTRACT Graphene has been introduced to the electronics community as a potentially useful material for scaling electronic devices to meet low-power and high-performance targets set by the semiconductor industry international roadmap, radio-frequency (RF) devices, and many more applications. Growth and integration of graphene for any device is challenging and will require significant effort and innovation to address the many issues associated with integrating the monolayer, chemically inert surface with metals and dielectrics. In this paper, we review the growth and integration of graphene for simple field-effect transistors and present physical and electrical data on the integrated graphene with metals and dielectrics. KEYWORDS Chemical vapor deposition (CVD); electrochemical transfer; graphene; mobility; Raman spectroscopy; X-ray photoelectron spectroscopy I. INTRODUCTION Over the past seven years or so there has been a lot of excitement in using graphene for many electronic applications among others [3] [6]. Researchers have looked to graphene as a material with which to fabricate Manuscript received June 4, 2012; revised April 1, 2013; accepted April 17, Date of publication May 24, 2013; date of current version June 14, This work was supported in part by the Nanoelectronic Research Initiative and the Southwest Academy of Nanoelectronics (SWAN NRI) program. The work of R. M. Wallace was also supported by an IBM Faculty award. The work of R. S. Ruoff was also supported by the W. M. Keck Foundation, the National Science Foundation, and the U.S. Office of Naval Research. L. Colombo is with Texas Instruments Incorporated, Dallas, TX USA ( colombo@ti.com). R. M. Wallace is with the University of Texas at Dallas, Richardson, TX USA ( rmwallace@utdallas.edu). R. S. Ruoff is with the University of Texas at Austin, Austin, TX USA ( r.ruoff@mail.utexas.edu). Digital Object Identifier: /JPROC devices in order to exceed the performance characteristics of current device applications as well as developing new devices, especially for flexible electronics, transparent electrodes for displays and touch screens, photonic applications, energy generation, and batteries [3], [12], [13]. The first graphene films were only a few tens of micrometers on the side and so the principal first issue in making graphene devices a reality is the development of a graphene of sufficient quality and size to meet the basic physical and electronic properties. Since the isolation of graphene from natural graphite, a number of techniques and processes have been studied and are in development to form graphene materials for a variety of applications; an extensive and complete review of these processes has recently been summarized by Bonaccorso et al. [22] and a concise history of graphene is presented by Dreyer et al. [23]. It is still too early to select the final graphene growth process for high-performance electronic devices since none of the processes meets all of the basic requirements. Today, there are a few sources ofhigh-qualitygraphene films: 1) exfoliated graphene from natural graphite or various other sources of graphite [24]; 2) graphene films on SiC single crystals formed by the evaporation of silicon from either the carbon- or silicon-rich surfaces of SiC [25]; and 3) graphene grown on metal substrates by chemical vapor deposition (CVD) [11], [26] [29]. The latter two graphene growth techniques have emerged as having the highest potential for high-performance electronic applications. There are other sources of graphene, for example, reduced graphene oxide or growth on various other metal surfaces. However, these are either discontinuous, doped with oxygen, or multilayered, as in the case of growth on metals with high carbon solubility, but these may be suited for applications having less stringent requirements [23], [30], [31]. Each of the graphene sources has its advantages and disadvantages: Graphene exfoliated from natural graphite 1536 Proceedings of the IEEE Vol. 101, No. 7, July /$31.00 Ó2013 IEEE

2 is of very high quality, as established by carrier transport properties, but the area of these films (flakes) is limited to hundreds of micrometers squared and is thus not scalable to meet high-volume manufacturing (HVM) requirements. Multilayer graphene grown on SiC single crystals has been shown to have excellent transport properties [32] [34]. Further, these films have the advantage of having exceptional surface flatness, and it is for this reason that this material is preferred by many surface scientists to study the basic physical properties of graphene. However, in order to satisfy the requirements of many electronic applications, from nanoelectronics to flexible electronics and transparent conductive electrodes, it is necessary to form large individual single layers of graphene. At this time, exfoliation of the full graphene films from SiC substrates has not been achieved yet, and even if it could be achieved, as Hashimoto et al. suggest [35], it might be impractical for many applications because of the SiC substrate size limitations and, to a lesser extent, cost. The introduction of 450-mm silicon integrated circuit development and production in the next decade or so will make the use of SiC as a source of graphene even more difficult unless SiC graphene can be grown directly on these very large Si wafers. The most challenging aspect of the use of graphene for electronicdevicesistheabsenceofabandgap.itiswell known that graphene develops a bandgap as its width narrows [36], and it would thus be desirable to be able to grow graphene nanoribbons (GNRs) in place. There are several reports on the chemical synthesis of atomically precise GNRs [37] [40], but there are no reports, to our knowledge, of GNR deterministicplacement.thisisakey research area that requires much more attention. Traditionally, processes such as CVD and atomic layer deposition (ALD) have been a preferred method of film growth/deposition for many types of materials, and it would be desirable if such growth techniques could be used for graphene. There have been several recent attempts at the growth of graphene on Ni by CVD, but the films were mostly multilayers with only small patches of monolayer graphite [41], [42]. Recently, bilayer graphene synthesis at the underlying Ni/SiO 2 interface has been reported with arbitrary carbon sources to the exposed Ni surface [43]. Li et al. [11] on the other hand developed a CVD process of graphene on Cu substrates where one can grow a monolayer of graphene on arbitrarily large Cu substrates. This CVD process has now been adopted by many because of the ease of implementation, and it is simplifying graphene device development, in comparison to exfoliated graphene. The advantage of graphene on Cu by CVD over graphene on SiC for HVM is that the CVD process is scalable to sizes limited only by the size of the CVD system. For example, Bae et al. [12] used the CVD graphene on Cu process to scale the growth to square meter copper substrates for display and touch screen applications. After the introduction of CVD graphene on Cu there has been a renewed focus in trying to grow large single graphene layers on Pt, Ni, Co, and Ru among others [21], [26], [29]. However, because of the higher C solubility in these metals and difficulty in etching for some of them, the processes are not yet commonplace, even though there are definite thermal stability advantages in comparison to Cu. The quality of graphene grown by CVD, as determined by transport measurements, is now nearly equivalent to that of graphene exfoliated from natural graphite on both SiO 2 [44] substrates as well as on hexagonal boron nitride (hbn) [45]. The availability of large-area graphene grown on Cu affords the ability to form multilevel stacked 3-D devices that cannot be easily fabricated using traditional semiconductor materials with a low thermal budget. This is all due to the ambipolar nature of graphene and the ability to grow and transfer to any substrate. In order to take full advantage of graphene grown on any electrically conductive substrate, it is critical to develop a transfer process as well as the subsequent cleaning and integration processes to ensure minimum graphene degradation so as to take advantage of the asgrown material properties. Alternative growth methods on nonconductive substrates are being explored [43], [46], [47] and may offer the prospect of high-quality material without the need for transfer methods. Fabrication of electronic devices will require the development of low defect density, highly ordered largearea graphene films, thin dielectric deposition processes that do not disturb the band structure of graphene, to select and develop contact materials and processes that provide low contact resistance, and to develop associated integration processes such as etching and surface cleaning. While thin dielectric deposition on Si is well developed and understood, growth of scaled dielectrics on clean graphene is facing some challenges. For example, because clean graphene is chemically inert, uniformly thin dielectrics, at the nanometer scale, have been difficult to deposit. The chemical inertness of the graphene surface requires some functionalizationveither intentional or unintentional (i.e., contamination). Growth of uniformly deposited high-k dielectrics on hydrogen terminated Si met a similar problem, and fortunately a thin oxide and/or an O H terminated surface were found to fix the problem. Unfortunately graphene does not have a natural oxide and so we have to look for different solutions. In addition, lowresistance metal contacts, necessary for operation of highperformance devices, have also shown to be challenging, and so many researchers are dedicating significant resources to solve and understand this problem. An additional significant complication that faces graphene is that it does not have a bandgap, thus leading to devices that do not turn off. It has been found that as the width of graphene is decreased below a certain value the confined carriers give rise to opening of a bandgap [36], [48]. Bernal stacked bilayers of graphene have also been Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1537

3 found to show a bandgap under a transverse electric field [49], [50]. Other than developing non-field-effect-transistor (FET)-based devices, there are a number of groups trying to introduce a bandgap in graphene to increase the performance of graphene FETs [51] [59]. In this paper, we will review the state-of-the-art graphene growth and electronic device integration development. It is not the intent of this paper, however, to perform an exhaustive review of GNRs and bilayer FET devices and related issues. Rather, we seek to provide the reader with an introduction to graphene synthesis from an electronicsmaterialintegrationperspective,asthisisthe key step to HVM. II. GRAPHENE METROLOGY AND CHARACTERIZATION Several techniques have been used in the study of graphene and the associated integration issues, and so a few words on these techniques are appropriate. The reader will find numerous results in the graphene research literature employing these techniques, many of which provide the complementary information needed to enable the integration of graphene and other materials required for device fabrication. Examples of such characterization techniques are presented in what follows, but since a detailed discussion is beyond the scope of this review, a brief survey of these methods is offered here, with references offered to the reader for further in-depth details. Recent reviews of graphene metrology have become available as well [60] [62]. In this review we focus on those techniques that primarily impact integration for device fabrication. Since seeing is believing, a number of microscopy techniques have been employed in graphene research. Optical microscopy is a first choice in this regard, where a number of optical methods to enhance the image contrast can be employed to get a sense of the graphene macroscopic quality. The placement of exfoliated graphene on a suitably thick SiO 2 layer to enable contrast through interference is now well known and convenient [63], [64]. It is also noted that recent work has extended the application of the spectroscopic ellipsometry technique to graphene, where the phase change of the incident and reflected light is used [65]. Of course, higher resolution studies require alternative probes such as electron beams, and both scanning electron microscopy (SEM), and high-resolution transmission electron microscopy techniques are used [66]. Recent studies have employed powerful aberration-corrected TEMs for superior resolution [67]. In this case, care must be exercised in controlling the beam power so as to avoid damaging graphene. Alternatively, such beams can be used to etch graphene for device fabrication. Low-energy electron microscopy [68] has also been employed to observe the growth process of graphene in a number of studies [69] [71]. A number of scanning probe microscopy techniques have been applied to graphene metrology, including scanning tunneling and atomic force microscopy. The former has revealed atomic resolution details of graphene growth [72] and impurity effects [73], while the latter is typically used for high-resolution profilometry, establishing that single- or few-layer graphene growth is present, for example [74]. Raman spectroscopy, widely employed for graphene research due to the sensitivity to the bonding structure of carbon allotropes [75], is used to establish the level of perfection of sp 2 bonding in a graphene sheet [76]. This nondestructive technique typically uses coherent light that results in inelastic scattering leading to potentially resonant interactions that enable the study of electronic and vibrational properties of molecules and crystals [77]. The technique can use a focused analysis spot and enable the mapping of m 2 regions in typical applications. Finally, X-ray photoelectron spectroscopy (XPS) has also been used in the characterization of graphene and graphite. The technique relies on the photoelectric effect [78], where electrons are generated through the illumination of the sample by (typically) soft (1.4 kev) X-rays, often with a monochromatic source for superior resolution. As a result, the energy of the generated electrons limits their origin to less than 10 nm of the surface and, as a result, the technique is quite surface sensitive. The energy spectrum of the electrons is analyzed, and is sensitive to the local carbon bonding environment [79]. The C1s lineshape for graphitic materials is complex, and requires careful analysis to establish the presence of detectable impurities (for example, C O) on the surface compared to more complicated relaxation phenomenon associated with the photoelectron generation [80], [81]. The analytical spot size is typically on the order of squared millimeters and thus requires relatively large samples. III. GRAPHENE GROWTH Graphite growth on metals has been observed by many researchers, especially by the catalysis community over at least the past 50 years [82]. Karu and Beer [83] reported on the pyrolitic growth of many layers of graphene in 1966, where they exposed the surface of nickel to methane, dissociating it, diffusing the resulting carbon into the nickel, and then precipitating multilayers of graphene on the nickel surface upon cooling. Blakely and students in the 1970s described the details of the C precipitation process from metals (Ni, Pt, Pd, Co) as deduced by surface analytical techniques and stated that monolayer graphite was the first material to segregate to the surface of the metal surface before full graphite precipitation upon cooling to room temperature [84] [88]. This pioneering work provided the basic ideas on how to grow multilayer 1538 Proceedings of the IEEE Vol. 101, No. 7, July 2013

4 graphene on metals and was recently reviewed by Wintterlin and Bocquet [89]. Thenumberoflayersofgrapheneonmetalsistofirst order dependent upon the solubility of C in the metal and thecoolingrate.ahighersolubilityatagiventemperature results in a higher number of graphene layers precipitating at the surface of the metal. Transition metal substrate selection for graphene growth depends principally on the solubility of C in the metal and the propensity for the metal C solution not to form a metal carbide compound. Before selecting a metal, one must thus first establish that there are no stable or metastable metal carbide phases that form during any of the process steps. Second, it is important to select a material that has a low C solubility in order to provide sufficient control of the number of graphene layers. Fortunately, there is already an established compendium of equilibrium phase diagrams available online through the American Society of Metals of the metal carbon systems. The Co C, Ni C, Cu C, Pd C, Ru C, Rh C, Pd Cu, Ag C, Ir C, Pt C, Au C, Ge C, and perhaps others, are a few binary systems where a stable carbide phase does not seem to form under equilibrium conditions; however, one must also pay attention to metastable phases as in the case of Ni 2 C [26], [90]. Fig. 1(a) and (b) shows the phase diagram of Ni C and Cu C, respectively, where it is very clear for the Ni C system that, at temperatures less than the melting point Fig. 1. (a) Ni C phase diagram. (b) Cu C phase diagram. (Adapted from [1].) and the eutectic temperature, the solubility of C is as high as a few percent and it is still soluble at a temperature of about 500 C. On the other hand, the Cu C system is a particularly interesting system because the solubility is extremely low. The Ir C system is an equally attractive system because of the low carbon solubility at temperatures below 1000 C as well as a high catalytic activity. Carbon also has a low reactivity and bond strength with Cu [90], which makes it easy to remove the graphene through chemical etching of the Cu substrate. The removal has been accomplished by chemical etching of the metal by several typical Cu etching chemistries such as aqueous FeCl 3 solutions, ammonium persulfate, or nitric acid, and more recently by electrochemical etching to dissociate the graphene from the substrate. The transfer process will be reviewed in greater detail in Section IV-A. As noted earlier, it would certainly be desirable if graphene could be grown directly on a dielectric surface, without a metal intermediary. Growth of graphene has been reported on hbn, magnesium oxide, cobalt oxide (Co 3 O 4 )andperhapsothers[91] [94].However,atthis time, there are no reports of high-quality, large-area continuous single-layer graphene growth on any dielectric surface. In the case of metals, there are other criteria for substrate selection other than C solubility, such as substrate etchability, availability or cost, purity, grain size, orientation control, etc. Therefore, given these basic requirements, it is desirable to have a metal carbon system that satisfies the aforementioned characteristics. Unfortunately, at this time, there is no single metal that fulfills all of the requirements but the metals being used are providing a sufficient quantity and quality of graphene to enable device development. Currently, the research community has basically overcome the challenge of the growth of monolayer graphene by using CVD. However, because graphene does not have a bandgap, it would be desirable for simple FETs to have a channel material with a bandgap. Two approaches have been used to introduce a bandgap in graphene: one is to form GNRs, which have been shown to have bandgaps and the second is to form bilayer graphene. GNRs have been found to show width-dependent bandgap, while the bilayers show a bandgap when a transverse electric field is applied. The formation of GNRs at the few nanometer dimension has the added challenge of controlling the graphene edge structure and dimensionsorlineedgeroughness(ler)asitiscommonly referred to for Si transistors [95] [97]. Growth of bilayer graphene on the other hand has been demonstrated on both metals and dielectrics, but it is difficult to control thenumberoflayersatthistime[43],[98],[99].some advantages and disadvantages of the various graphene preparation and growth techniques are summarized in Table 1. Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1539

5 Table 1 Graphene Film Growth Techniques Comparison A. Chemical Vapor Deposition 1) Monolayer Graphene: CVD is one of the most extensively used processes in the semiconductor industry because of its flexibility, composition control, conformality, efficient material usage, etc. It would be advantageous if we could use this process technology to grow graphene films. As with most materials, thickness and composition control are critical and in the case of graphene, as a single element material, composition control is not an issue, however thickness control, impurities, and surface flatness are extremely critical. Graphene grown on Cu was found to grow by a surface mediated process and can now be grown routinely and can be transferred to any substrate for further evaluation and use, while still maintaining the overall properties of the asgrownfilm[2].fig.2(a)and(b)showssemimagesof graphene on Cu and graphene transferred to SiO 2, respectively, showing the uniform nature of the film across a large area [11]. Fig. 2(c) further shows the scaling of graphene growth on Cu to very large areas. Since the graphite growth process on Ni takes place by a precipitation process, even for very thin Ni films, graphene is only observed in small regions [41], small grains, where perhaps the grains are depleted of the carbon through heavy precipitation at grain boundaries. Recently, however, Addou et al. [26] have reported on the growth of graphene on Ni(111) at a relatively low temperature range, 500 C 650 C. These results are encouraging, but even at such low temperatures, it is difficult to completely prevent precipitation upon cooling. Additionally, another set of very interesting results of graphene growth on singlecrystal Co [29] and Ru [112] on sapphire has also been recently reported, suggesting that the elimination of grain boundaries leads to improved control of graphene growth. These results are important since they demonstrate the ability to grow high-quality graphene and perhaps even hetero-epitaxial graphene on nearly lattice matched substrates such as Ni and Co. However, there could be some technological limitations such as the unavailability 1540 Proceedings of the IEEE Vol. 101, No. 7, July 2013

6 Fig. 2. (a) SEM of graphene on copper and (b) optical image of graphene transferred onto a quartz substrate. Adopted from [11]. (c) Large-area graphene grown by CVD on Cu and transferred to polymethylmethacrylate (PMMA) by a roll-to-roll process. Adapted from [12]. [117]. Such methods result in a high density of hexagonal graphene regions upon further exposure to the CVD process. Recently, there have been some advances in the growth of large single-crystal graphene with grain sizes up to 0.5 mm on Cu substrates [118], as shown in Fig. 3(a), and up to 1 mm on Pt substrates [21], as shown in Fig. 3(b). Fig. 3(a) shows a single crystal of graphene grown on Cu where the average growth rate is about 3 m/min, and it is of high quality as determined by Raman spectroscopy as well as transport properties [118]. Recently, Petrone et al. [45] also reported on the mobility of CVD large-area domain, probably single crystal, graphene grown by the same process as that reported by Li et al. [118]. They found the mobility to be as high as cm 2 /Vs onsio 2 and cm 2 /Vs on hbn for carrier-densityindependent mobility and a residual carrier concentration at the Dirac point of much less than cm 2 indicative of a good transfer process. These films show high mobility despite the fact that the growth front is dendritic, i.e., rough. The growth rate of the films grownonptisalsoaboutthesameasthefilmsreported by Li et al. [118] on Cu. On the other hand, the graphene grown on Pt and removed from the substrate by an electrochemical process clearly shows a nearly flat growth front, as indicated by the nearly hexagonal shape, of large-area sapphire single crystals. The results of graphene growth on single-crystal Co [29] and Ru [112] on sapphire have also been recently reported, suggesting that the elimination of grain boundaries leads to improved control of graphene growth. These results are important since they demonstrate the ability to grow high-quality graphene and perhaps even hetero-epitaxial graphene on nearly lattice matched substrates such as Ni and Co. However, there could be some technological limitations such as the unavailability of large-area sapphire single crystals. These results could have a positive impact on the fabrication of high-quality electronic devices on graphene if the resulting graphene on lattice matched substrates has a final lower defect density. Growth of large-area graphene is critically important, not only for nanoelectronic devices but even more so for plastic substrate electronics and transparent conductive electrodes, as well as energy generation applications. The principal difference between these applications is that transparent conductive electrodes may tolerate defects in the graphene films such as grain boundaries that other devices may not. Higher performance thin-film electronic devices on flexible substrates are promising since graphene would provide much higher mobilities than other materials under evaluation [113] [115]. There have also been reports of CVD graphene synthesis using patterned nucleation sites such as Ni metal dots [116] or even patterned graphene seed regions Fig. 3. Single crystals of graphene grown by CVD using methane on polycrystalline (a) Cu (adopted from [9]) and on (b) Pt (adopted from [21]) substrates. Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1541

7 120 corners of the crystal. With this faceted graphene single crystal, one would expect exceptional transport properties, but at this time, while the density-independent mobility is very good, > cm 2 /Vs with a residual carrier concentration of about cm 2,attheDirac point, it is lower than the best reported for graphene grown on Cu or exfoliated graphene. However, this lower mobility could be a result of unoptimized device processing. 2) Bilayer Graphene: Bilayer graphene has been observed during the growth of CVD graphene layers in most reports. The density of the bilayer regions has been decreasing as the CVD process is improving. The principal reason for the successful growth of monolayer graphene is the low C solubility in the Cu substrate and the absence of catalytic activity of graphene. It is this very reason that makes it difficult to grow uniform controlled multilayer graphene layers. The need for demonstration of bigraphene devices has spurred many to investigate the growth of bilayer graphene by CVD. There are a few reports on the intentional growth of large-area Bernal stacked bilayer graphene [98], [99]. The results seem promising and, at this time, there are potentially two mechanisms for growing these films: 1) growth of the second layer by the use of graphene fragments formed on a source metal surface (Cu in [99]); and 2) growth under the first growing graphene films, as reported by Nie et al. [119]. There are also cases where the films are not uniformly Bernal stacked, perhaps due to the presence of multiple grains and thus poor registration of the first and second films. Progress is being made deliberately in the basic understanding of bilayer graphene growth [43], [119], [120]. Sun et al. [120] studied the structure of graphene and multilayer graphene on Ni by in situ low-energy electron microscopy (LEEM) and found that single layers of graphene are strongly bound to the Ni substrate and the -band structure characteristic of graphene is absent, whileitispresentforbilayergraphene.thiscouldbean issue in the growth of either monolayers of graphene or bilayers; however, Peng et al. [43] using a similar process find that the bilayers are well behaved according to Raman spectroscopy. At this point though there are no reports yet on the electrical behavior of these films. B. Graphene Nanoribbons GNRs have been extensively studied with the intent of introducing a bandgap in graphene as well as trying to evaluate the limits of graphene physical scaling. The first reports have been on chemically derived GNRs from natural graphite with widths below 10 nm. These films showed semiconducting behavior with carrier mobilities below 200 cm 2 /Vs. The need to form graphene with a bandgap has spurred other groups to form GNRs by direct chemical methods, a bottom up approach and surface mediated synthesis [40], [108], [121] as well as longitudinal chemical unzipping of carbon nanotubes (CNTs) [110]. GNRs have also been formed by a templating growth process on SiC [101]. This would certainly be an elegant approach to address the many issues of forming GNRs, however, at this time, this can only be achieved directly on SiC crystals and this can be an intermediate approach for device evaluation. The continued development of GNR for any device will be critical to the scaling of graphene-based devices regardless of whether a large bandgap is needed. In addition, as already mentioned above, graphene scaling will need precise LER control in order to yield uniform device performance across a wafer [107]. It is unlikely that traditional etching will meet the required uniform GNRs, thus the development of deterministic atomically precise formation approaches may be necessary. Graphene and GNRs are being considered for interconnect applications as well but the effort for its implementation has been fairly low in comparison to other applications [122], [123]. C. CVD Graphene Characterization Raman spectroscopy is one of the most effective characterization tools for graphene. Ferrari et al. [124] and Casiraghi et al. [125] have performed extensive studies to establish the relationship between Raman spectral characteristics and the number of graphite layers and transport properties. There are three principal Raman spectral characteristics that are used to evaluate the presence and quality of graphene: the D-band (peak) at 1350 cm 1,theG-band at 1590 cm 1, and the 2-D-band at 2700 cm 1.TheD-peak is representative of defects in graphene orgraphite, while theg and 2-D-peaks, indicative of the sp 2 bonding, are very sensitive to the doping levels in the films. Additionally, the 2-D-peak is made up of two distinct peaks 2-D 1 and 2-D 2 in natural graphite, and as the graphite tends toward a monolayer, the higher wave number peak 2-D 2 decreases to merge into a sharp 2-D-peak at the lower wave number, and it is this signature that enables one to distinguish graphene from multilayer graphene [124] [126]. Fig. 4 shows the Raman spectrum of graphene grown on Cu foils and transferred onto SiO 2 /Si substrate in comparison to that of highly oriented pyrolitic graphite (HOPG). The spectrum clearly shows a narrow 2-D spectral band indicating that the film is a monolayer of graphite, and the absence of a significant D-band shows that the films have a negligible defect density. The growth of graphene on copper can be controlled by changing the processing conditions such as substrate surface conditions, temperature, pressure, precursor and hydrogen partial pressure, and precursor type. At first, a few bilayer regions in graphene on Cu were reported [11], presumably as a result of defects on the Cu surface, long growth times, and higher precursor pressures. The growth of bilayer regions was studied by Nie et al. [119], and they showed that the bilayer regions are a result of carbon 1542 Proceedings of the IEEE Vol. 101, No. 7, July 2013

8 Fig. 4. Raman spectrum of CVD graphene on SiO 2 and that of HOPG. diffusion under the first graphene film rather than on top of the graphene. The bilayer and sometimes trilayer regions are always small and do not grow even after extended growth periods suggesting that as the Cu surface is covered with graphene, the Csourceforthebilayer growth disappears. What distinguishes the growth of graphene on Cu from growth on other metals is that the growth process is surface limited; that is, the growth ceases as the metal surface is covered with graphene. The 2-D surface-limited growth process of graphene on Cu was identified using a carbon isotope labeling technique, as reported by Li et al. [127]. In this experiment, the surface of Cu is exposed sequentially to normal methane, primarily 12 CH 4, and then to methane containing 13 C. Because of the mass difference between 12 Cand 13 C, the Raman peak positions shift, and this shift was used to monitor the location of the two carbon isotopes on the Cu surface. Fig. 5(b) shows the Raman map of graphene grown on Cu by sequential exposure to 12 CH 4 and 13 CH 4 at about 1035 C and the corresponding Raman spectra [Fig. 5(a)]; it is clear from the banding, yellow and black, that graphene is growing by a 2-D, i.e., surface mediated, process. A similar experiment was performed using thin-film Ni as the substrate, and it was found that graphene does not grow by the same process on the Ni as exhibited by the uniform shift of the G-peak, as shown in Fig. 5(c) and (d). Fig. 5. (a) Raman spectroscopic map of graphene grown on Cu using isotopically labeled carbon in methane ( 12 CVstandard graphene and 13 C). (b) Raman spectroscopic map of graphene grown on Ni using isotopically labeled carbon in methane ( 12 CVstandard graphene and 13 C). (Reprinted with permission, Ó2010 American Chemical Society; adapted from [8].) A. Transfer of CVD Graphene The utilization of CVD graphene grown on metals for device applications currently requires a transfer process from the metal substrate to a more suitable substrate, a IV. MATERIALS INTEGRATION In order to create graphene-based electronic devices, it is necessary to integrate graphene with a dielectrics, metal contacts, and electrodes. Fig. 6 shows a cross section of a simple four-terminal device with a source and drain, gate dielectric, top gate electrode, and bottom gate contact or body contact. In this section, we will review graphene transfer from metals to dielectrics, dielectric deposition and chemical analysis on graphene, and the effect of dielectrics on electronic transport and contact materials. Fig. 6. Schematic diagram of a dual-gated graphene FET structure. (Reprinted with permission, Ó2009 American Institute of Physics [14].) Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1543

9 Fig. 7. Graphene transfer process from the Cu substrate. (a) Chemical dissolution of the Cu, (adapted from [2]. (b) Electrochemical separation [20] of Cu and graphene. (Reprinted with permission, Ó2011 American Chemical Society.) dielectric. Following the original work on device measurements using exfoliated graphene, a majority of the studies with CVD graphene entail transfer to Si wafers with a SiO 2 dielectric layer on the surface. The transfer process, although far from ideal, enables reasonable quality graphene for device research and development, with the potential for large-area substrates. In contrast, Si sublimation from SiC renders graphene layers on a wafer surface outright, and thus does not require a transfer process to fabricate research devices [128]. In this case, however, the available wafer area size limits the economics of the process and, thus, the potential applications, specifically those requiring integration with Si or those requiring very large areas such as display applications in an HVM environment. The transfer process, first reported by Yu et al. [129], entails a number of wet chemical processes; these can include exposure of the graphene/metal substrate to polymers, solvents, etchants, water rinses, as well as assorted thermal anneals. From a semiconductor manufacturing point of view, it is clear that such processes would be expected to introduce organic contaminants or defects at some level, and thus a systematic understanding of the impact of the processes is desirable. For example, spin-on poly(methyl methacrylate) (PMMA) [11], [41] or polydimethylsiloxane (PDMS) [129] have been used as a protective coating over the graphene permitting the etching and removal of the underlying metal substrate used for growth, as shown in Fig. 7(a). At the conclusion of the metal etching process, a raft of PMMA/ graphene remains, which can be essentially fished out of the solution and placed onto a desired substrate, such as a SiO 2 /Si wafer. The PMMA is then often dissolved away using a suitable solvent, such as acetone. Figs. 8 and 9 show the effect of PMMA residues on graphene device behavior and the resulting surface characteristic as determined by XPS [18]. Fig. 8(a) shows the XPS spectra of CVD graphene on Cu, followed by Fig. 8(c) after transfer to a SiO 2 /Si substrate and PMMA removal using acetone, and finally the film is annealed under ultrahigh vacuum [Fig. 8(c)]. It is seen that the typical PMMA solvent removal process is incomplete, resulting in detectable residuals in the C1s spectra. The subsequent vacuum anneal reduces these residuals to levels near the limit of detection for XPS, and is consistent with prior scanning tunneling microscopy (STM) studies using a reducing atmosphere [73] as well as more recent studies [133]. It is interesting that XPS data performed on the same films after transfer do not show any detectable metal; however, while XPS can provide initial indications on the metal (Cu for example) concentration on the graphene surface, more sensitive techniques such as inductively coupled plasma mass spectrometry (ICPMS) will have to be used before such films can be introduced in a Si line. Another method of transferring the graphene is to use a dry process where the graphene is covered with the usual polymer, for example, PMMA, but rather than placing the substrate in the wash water and transferring the graphene/pmma stack over water, it is removed from on 1544 Proceedings of the IEEE Vol. 101, No. 7, July 2013

10 Fig. 8. XPS C1s spectrum for (a) CVD graphene on Cu, (b) graphene after transfer to SiO 2 and removal of PMMA using acetone, and (c) after 300 C/3 h ultra high vacuum (UHV) anneal. Curve fits to spectral components attributed to graphene sp 2 C C bonds (d) and PMMA residue (e) (i) are shown. (Reprinted with permission, Ó2010 American Institute of Physics [18].) Fig. 9. (a) R total versus V GS for the as-prepared devices, annealed at 300 C in UHV, annealed at 300 CinUHV,plusinsituannealedat80 C and measured at room temperature, compared to the same device measured at 77 K after 300 C UHV anneal followed by an 80 Cinsitu anneal. (b) Mobility as a function of the different graphene and gfet treatments. (Adapted from [15].) top of the etchant/water solution using a carrier and then placed onto the desired substrate after drying [45], [131]. Yet another similar dry process is the use of a roll-to-roll process, as described by Bae et al. [12], for very large areas. Recently, there have also been reports on the transfer of graphene by an electrochemical process for both Cu [20] substrates and Pt substrates [21], as shown in Fig. 7(b). The clear advantage of this process is that the substrate can be reused many times, and perhaps it may simplify the handling of the graphene with the transfer polymer from the solution. This type of process could have significant advantages since it minimizes metal waste, a potential environmental problem; further the graphene polymer stack can be transferred dry to the desired substrate. There are advantages and disadvantages to any of the current transfer processes, and it is important to optimize each one, with particular attention to surface cleaning so as to render the graphene surface as close to the as-grown surface as possible. The fabrication of back-gated graphene transistors (Fig. 9) enables the study of any correlated conductivity (typically measured under a modest vacuum) and the extracted mobility behavior. It is seen that the reduction of such residues impacts the mobility substantially, pointing to the need for careful control of contaminants introduced by the transfer process. Further systematic studies have also been performed at other stages of the transfer process, including the effect of water exposure and in situ annealing to remove physisorbed species prior to electrical measurements [15]. Mobility measurements on CVD graphene comparable to exfoliated graphene on SiO 2 /Si have been obtained by controlling these processes, and through the minimization of extrinsic impurities or defects. CVD graphene has also been transferred onto alternative dielectrics such as hbn and ideal substrate for graphene since they are nearly lattice matched to each other and are both layered materials. The use of exfoliated hbn as a substrate for exfoliated graphene has resulted in superior device performance due to several factors, including chemical inertness and flatness [134], [135]. As for large-area studies, work on CVD hbn has recently been reported [136]. Single-layer hbn and multilayer hbn have been grown; however, at this time, the electrical performance of the CVD grown hbn is still inferior to exfoliated hbn from bulk grown crystals [137]. Table 2 shows a summary of the most common transfer process used. There is still much work to be performed in optimizing each of the processes, and process selection will depend to the particular application. Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1545

11 Table 2 Graphene Transfer Process Comparison B. Dielectrics on Graphene One of the basic properties of graphite, and thus graphene, is that the surface is inert to surface oxidation and other reactions at typical process temperatures (less than about 500 C) in comparison to other FET materials, such as Si, Ge, and III V semiconductors. Although graphene is quite resistive to oxidation, at the current typical device processing temperatures, there are some materials that readily react with the graphene surface under certain chemical conditions. The resultant disruption of the sp 2 bonding due to such reactions results in defect sites, which impact transport properties. However, the oxidation behavior also makes integration of graphene in a conventional device flow challenging. This section summarizes the issues and progress on dielectric integration for graphene FETs. Dielectrics in contact with graphene are required to enable field-effect control of electron and hole transport through the graphene layer by means of an electrically isolated external gate. Typical in many of the earlier graphene-fet (gfet) devices utilizing exfoliated graphene, a dielectric such as SiO 2 was employed to serve as a back-gate dielectric in order to probe the transport properties of graphene transferred to SiO 2 /Si wafer substrate [4]. The application of a top-gate dielectric on such structures enables the study of FET saturation characteristics for high-performance analog applications such as radio-frequency (RF) circuits [138]. A review of the recent developments in graphene transistor designs and their potential utility has been recently published [139]. A number of strategies have been employed to enable the deposition of dielectrics on graphene, including metal evaporation and ALD. The challenges of nucleating thin dielectric films on the surface of graphene have been recognized for some time, particularly in view of prior work on CNT surfaces [140]. For high-k dielectrics such as HfO 2 or ZrO 2, ALD methods were employed on CNT transistor structures so that the CNT channel was essentially encased within the high-k layer [141]. As the ALD process generally requires reaction with the available surface bonds for film nucleation and subsequent growth [142], it was later shown that defects in the nanotubes can result in such nucleation sites [140]. Alternative methods for nucleation sites entailed the concepts of functionalizing the CNT surface sufficiently to enable subsequent ALD of dielectrics 1546 Proceedings of the IEEE Vol.101,No.7,July2013

12 [143], based in part on earlier observations of CNT resistivity changes upon exposure to species such as NH 3 and NO 2 that were apparently physisorbed to the CNT surface [144], [145]. Using a combination of NO 2 and trimethyl aluminum (TMA), a well-known ALD precursor for Al 2 O 3 deposition, Farmer and Gordon [143] demonstrated that a thin, uniform Al 2 O 3 dielectric can be formed on the single-wall CNT surface by ALD. Without such functionalization of clean graphene, nonuniform film deposition occurs largely at defect sites, as seen in Fig. 10 for the HOPG surface, which is thought to be representative of the graphene surface [146]. It can be seen that the ALD process decorates the step edges of the surface, while the relatively inert terraces remain free of detectable deposition under these conditions. The NO 2 - functionalization strategy was subsequently adapted for topgate Al 2 O 3 dielectric growth on the exfoliated graphene surface for quantum Hall effect measurements of ambipolar transport [147]. Later work on gfets demonstrated, however, that such NO 2 functionalization can degrade gfet mobility presumably through charge impurity scattering as well as interface phonons with the Al 2 O 3 [148]. Another challenge for adopting NO 2 in standard device processing lies in the corrosive properties of the gas in deposition tools where residual water may be present and safety considerations due to the strong reactivity. As a result, alternative functionalization methods are being explored. A common point-of-use oxidizer, well established in semiconductor fabrication technology, is ozone, and this has been recently explored for surface functionalization of graphene [16], [17], [149]. The effect of an O 3 pre-treatment to the HOPG surface on Al 2 O 3 ALD is shown in Fig. 10(c). In this work, the HOPG surface was exposed to O 3 at 200 C resulting in substantial C O bonding thus rendering the surface active for subsequent Al 2 O 3 ALD growth with a TMA/water chemistry. Of course, the formation of detectable C O bonding implies some disruption of the HOPG surface, and thus damage to a graphene specimen with this process would clearly be anticipated. Such elevated temperature etch damage (and chemical doping) has also Fig. 10. Atomic force microscope images of the HOPG surface after (a) exfoliation and (b) exposure to an ALD process of TMA and water at 250 C, and (c) after exposure to an ALD process and ozone at 200 C. (Reprinted with permission, Ó2008 American Institute of Physics [16].) Fig. 11. (a) Raman spectrum of a pristine single graphene layer (bottom) and graphene treated with O 3 at 25 C for 20 s. (b) The same as (a) except with O 3 exposure at 200 C. (Reprinted with permission, Ó2010 American Institute of Physics [17].) been reported upon exposure to O 2 /Ar mixtures as well as to ultraviolet light, for example, [150]. The O 3 process can be optimized for an exfoliated graphene layer by conducting the O 3 pretreatment at room temperature, followed by an elevated temperature TMA/ water ALD process, as reported by Lee et al. [17] As seen in Fig. 11(a), the Raman spectra of the 25 CO 3 exposure for 20 s results in no detectable defect band ( D 1350 cm 1 ), while the elevated temperature clearly results in etch damage and thus a detectable D -band [Fig. 11(b)]. The resultant Al 2 O 3 film was then grown with a TMA/water chemistry at 200 C and incorporated as a top gate on a dual-gated gfet device using exfoliated graphene. Electrical characterization shows ambipolar behavior with an extracted mobility [14], [151] as high as 5000 cm 2 /s [17]. The mechanism associated with functionalization by O 3 on clean graphene has been considered using firstprinciples computational methods and in situ electrical characterization [152], [153]. It is found that the short time 25 CO 3 exposure is predicted to weakly chemisorb on the surface, resulting in an epoxide species with submonolayer surface coverage. This species then serves as a nucleation site for subsequent ALD dielectric growth. Additionally, the effect of extrinsic contamination with the O 3 process is also a consideration for film nucleation [154]. Organic species have also been shown to facilitate nucleation for subsequent ALD dielectric film growth, such as perylene tetracarboxylic acid (PTCA) [155] or perylene- 3,4,9,10-tetracarboxylic dianhydride (PTCDA) [156], but transport measurements of gfets using these organic layers have not yet been reported. Polymer-based nucleation layers have also been employed and include photoresist materials such as hydrogen silsesquioxane (HSQ) [157] as well as a planarization polymer that performs as a low-k dielectric buffer layer [158], [159]. In the latter case, an extracted mobility up to 7700 cm 2 /s was reported for top-gated gfets with an HfO 2 layer deposited on the buffer layer [159]. The use of such seed layers for functionalization and subsequent high-k dielectric deposition has also been proposed to decouple the surface phonon interaction associated with Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1547

13 such oxides and the graphene interface, potentially enhancing the resultant mobility. Given the ability of organic species to result in nucleation and growth of ALD dielectric films by ALD, one may also ponder the effects of spurious surface contamination from, for example, atmospheric exposure. Such contamination is well documented in the Si integrated circuit fabrication community, and contains organic species as well as physisorbed species such as water [160]. Estimates of the formation of a monolayer on a typically reactive surface have been less than 30 min [161]. Enhanced surface contamination from B during exposure of a surface under clean-room conditions has also been reported [162]. The concentration of such species on freshly exfoliated graphene may be anticipated to be somewhat less than that on active surfaces such as the native oxides of Si or III V compounds, but their effect on nucleation in an ALD process cannot be ruled out. Residues from processing also surely play a role in this context. The impact of such contamination on ALD high-k dielectric growth has been recently reported [149]. An alternative method to ALD of gate dielectrics on graphene includes the physical vapor deposition (PVD) of metals and metal oxides. Early work on high-k gate dielectric deposition on Si employed this concept [163]. Examples include sputtered Zr [164] as well as evaporated LaandAl[165].andsubsequentoxidationtoformthin (2 5 nm) ZrO 2,La 2 O 3,andAl 2 O 3, respectively. In the context of graphene, Kim et al. [14] utilized this approach to produce a seed layer for subsequent Al 2 O 3 ALD growth. A 1 2-nm Al metal film was deposited by e-beam evaporation on exfoliated graphene, followed by exposure to the clean-room ambient resulting in extensive oxidation of the thin Al layer [166]. This surface was then submitted to a water/tma ALD process to produce a 15-nm Al 2 O 3 dielectric film incorporated into a top-gated structure. A dielectric constant of k ¼ 6:0 was reported for the resultant Ni/Al 2 O 3 /AlO x /graphene gate stack, and an extracted mobility as high as 8600 cm 2 /s was reported. This work was recently extended by comparing Ti and Al as nucleation layers. The data indicate that the Ti is a more effective and uniform metal as an adhesion layer yielding an improved capacitance scaling for the Al 2 O 3 top-gate dielectric on exfoliated graphene [167]. Lemme et al. [168], [169] studied the use of an electronbeam evaporated SiO 2 layer (20 nm) as a gate dielectric on an exfoliated graphene gfet and observed that the mobility is reduced from 4800 cm 2 /Vs for electrons and holes to 700 and 500 cm 2 /Vs, respectively, after deposition of the top-gate stack. An interaction of the -orbitals of the underlying graphene with the SiO 2 resulting in van der Waals bonding [170] was proposed as the source of mobility degradation for this gfet. There are limited reports utilizing such PVD methods to seed ALD on CVD graphene, and mobilities greater than 4000 cm 2 /Vs have been extracted from electrical measurements of Al 2 O 3 top-gated films [11]. There are limited reports on the effect of the deposited dielectrics on the Raman signature. The limited data show that there are no significant deleterious effects as established by the absence of the Raman D-band for processes that yield good electrical data [17], [171]. Scaling of deposited oxides has been extensively studied with some nucleation functionalization approaches better than others as described above, but scaling downtomonolayerlevelswithoutpinholesandlowgate leakage has been difficult to achieve. The introduction of hbn [134] and transition metal dichalcogenides (TMD) [172] as potential dielectrics has changed the landscape of dielectric scaling approaches and is now attracting a lot of attention [173], [174]. Graphene, exfoliated and CVD on Cu, transfer to hbn flakes has yielded excellent carrier mobilities as a result of lower substrate induced disorder. Deposition and growth of these materials is now being extensively investigated because of the promising electrical results using exfoliated films. However, the deposition of the same materials on metal substrates and on graphene at the monolayer level has not yielded the same transport properties, to our knowledge, yet. While ALD, perhaps in this case atomic layer epitaxy (ALE), can still be a desired approach for the growth of these new 2-D materials, there are other techniques such as molecular beam epitaxy that could be equivalently attractive. The advantage of processes like MBE is that one could avoid the use of precursors that tend to introduce unwanted hydrocarbons and perhaps metallic impurities because of the difficulty in procuring very high purity precursors, and thus provide purer films. At this time, there are no reported data on the effect of specific impurities in gate dielectrics on device performance. C. Graphene-Dielectric Interface Characterization The characterization of the graphene-dielectric interface is also a topic of intense interest. An important method in this work is X-ray photoelectron spectroscopy [175], which enables the detailed understanding of the interfacial bonding present. Particularly when coupled with in situ treatments of the graphene surface, one can obtain a fundamental understanding of reaction pathways during the dielectric growth process. Another important technique is scanning tunneling microscopy/spectroscopy. An example of in situ analysis of 1 nm of Al deposited on HOPG is shown in Fig. 12 [7]. In this work, the effect of annealing of the exfoliated HOPG sample, prior to Al metal deposition was conducted, to remove physorbed species on the surface, such as hydroxyls from (brief) atmospheric exposure. It is seen in Fig. 12(a) that the postanneal surface reveals a characteristic C1s line shape for sp 2 carbon, and that the Al2p spectra is consistent with unoxidized Al on the clean HOPG surface. Upon exposure to O 2 at 200 C, the aluminum is not completely oxidized, as seen by the small remnant Al 0 metal feature at 72.6 ev. In contrast, if the exfoliated HOPG surface is not annealed 1548 Proceedings of the IEEE Vol.101,No.7,July2013

14 Fig. 12. O1s, C1s, and Al2p spectra for graphite sample with predeposition anneal at 500 C to remove physisorbed interfacial hydroxyls (a) Al as-deposited and (b) Al oxidized in 1000 mbar O 2 at 200 C for 10 min. Sample without predeposition anneal (c) Al as-deposited and (d) oxidized under the same conditions as (b). (Reprinted with permission, Ó 2009 American Institute of Physics [7].) prior to deposition, the surface has remnant oxygen-related physisorbed species (likely hydroxyls), and the deposited Al reacts with these species to consume them forming AlO x at the interface [Fig. 12(c)]. It is clear that subsequent oxidation then results in complete oxidation of the deposited Al. In contrast to the behavior of Al, a 1-nm Hf layer deposited at room temperature can result in Hf C formation on HOPG, as seen in Fig. 13. Fig. 13(a) shows the XPS spectra of Hf/graphene after Hf deposition; where a C1s feature at 282 ev is clearly evident and indicative of Hf C bonding. Perhaps the formation of Hf C reaction is aided by the presence of adsorbates on the graphite surface; graphite titanium reactions have been reported after annealing at high temperatures, and at T G 600 K interfacial reactions have been observed. It is therefore not surprising that Hf also reacts with graphite at room temperature. In the case of Al, Al 4 C 3 can also be formed, but it readily reacts with water and is thus unstable [176]. In thepresenceofoxygenaswasthecasefortheti,hf,andal interfacial layers reported by Fallahazad et al. [167], [177] and Kim et al. [178], the graphene seems to be very stable against carburization. Such bonding would be anticipated to be catastrophic for a monolayer graphene sheet. A substantialamountofoxidizedhfisalsonotedonthis surface from the companion O1s and Hf4f spectra. However, if one performs an anneal prior to deposition and utilizes a liquid N 2 cooled shroud in the e-beam deposition process, thereby improving the vacuum during deposition through the cryo-adsorption of residual gas species such as hydroxyls, carbide formation is suppressed and the Hf metal getters the remaining oxygen to form HfO x on the HOPG surface, as shown in Fig. 13(b). Again, Fig nm Hf deposited on (a) exfoliated graphite with deposition chamber walls at 25 CandP ¼ mbar, (b) annealed (500 C/30 min) graphite with chamber walls cooled by liquid N 2 and P ¼ mbar, (c) Hf oxidized in 1000 mbar O 2 at 25 C, (d) HfO 2 deposited by reactive e-beam deposition with mbar partial pressure of O 2. A difference spectrum between the two graphite+hfo 2 C1s peaks is shown in (e); the peak for sample (c) is broader than (d) by 0.13 ev. (Reprinted with permission, Ó 2009 American Institute of Physics [19].) subsequent oxidation of this film results in the formation of HfO 2 [Fig. 13(c) and (d)]. A comparison of the topography of these metal oxide films using ex situ AFM (not shown) reveals that the AlO x is clustered while the HfO x is relatively smooth, presumably due to a lower surface diffusion rate of Hf relative to Al, and is consistent with the interfacial chemical behavior observed. Taken together, these results point to the importance of controlling the interfacial reactions through careful control of the deposition tool conditions, and thus substantial optimization can be achieved for device development. In situ studies of the HfO 2 /graphene (derived from SiC) have also been recently reported [179]. As noted previously, improvements in the capacitance scaling by engineering the interface are necessary. Fallahazad et al. [167]usedTiasanucleationlayerto improve the uniformity of the top dielectric as well as an increase in the dielectric constant. The increase in dielectric constant of the Al 2 O 3 /TiO 2 stack was associated Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1549

15 with a partial crystallinity of the Al 2 O 3. It is interesting to note that no interdiffusion of the Al and Ti took place as perhaps expected given the low processing temperature. It was also noted that the roughness of the oxidized Ti layer (0.24nm)isabouthalfofthatfortheoxidizedAllayer, consistent with a reduced surface diffusion rate for Ti relative to Al. The extracted dielectric constant of the Al 2 O 3 /TiO 2 stack is larger than that for the Al 2 O 3 -only stack, and that the extracted mobility is also impacted by the higher dielectric capacitance density obtained through the incorporation of Ti-based nucleation layer. The falloff in the mobility with increasing (Al 2 O 3 ) gate stack thickness was attributed to Coulomb scattering from charged point defects (e.g., oxygen vacancies) in the dielectric [167]. Recent studies have also examined the placement of Al 2 O 3 nanoribbons on exfoliated graphene, where top-gate mobilities as high as cm 2 /Vs were reported for the thinnest top dielectric, 38 nm and a residual carrier concentration at the Dirac point of about cm 2 [180]. Recently, in situ electrical measurements of ALD Al 2 O 3 deposited on exfoliated graphene utilizing the ozone functionalization methods described previously indicate a back-gated mobility as high as cm 2 /Vs havealso been reported [153]. Finally, extensions to deposited low-k dielectrics on exfoliated graphene have been reported for parylene-c, a dielectric normally employed for organic thin-film transistor development [181]. Further work using such dielectric deposition methods applied to large-area CVD graphene is anticipated in the near term. contact resistance of the following metals on graphene/ SiC: Ti/Au, Cr/Au, Ni/Au, Pt/Au, Cu/Au, and Pd/Au after various oxygen plasma treatments and found that while the graphene quality degraded according to Raman measurements, i.e., the D-band intensity increased significantly, the specific contact resistance decreased to about 10 7 W cm 2. A summary of the process conditions and the effect on the contactresistanceisshowninfig.14.thisisamajor advancement, but even though there was a large work function difference between the various metals, there was no correlation to the contact resistance. The reasons for the high contact resistance vary and the lack of correlation to the specific metal may be associated with the lack of precise understanding of the graphene metal interface chemistry, an area still to be carefully investigated. Certainly, graphene on SiC maybe the best source of clean graphene since exposure to hydrocarbons and other V. METAL CONTACTS Successful device fabrication and operation requires low contact resistance for the source and drain regions since this can limit device performance. There has been a significant amount of effort in decreasing the metal contact resistance in silicon devices. The contact resistanceofmetalstographeneortocntsisstillveryhigh compared to state-of-the-art contacts in silicon devices. There have been some advancements in the contact resistance of metals on graphene, but it is still about an order of magnitude too high. There are several issues at this time, metal selection, graphene surface cleaning, and metal graphene bonding type and understanding. Metal selection involves ensuring that the metal does not fully carburize thus destroying the graphene or dissolving the graphene, and must have the desired work function. With the exception of Cu, Ir, Au, and Ag, most metals either react or can significantly dissolve the carbon under appropriate thermodynamic and chemical conditions. A few studies have been carried out to extract the contact resistance of metals on graphene [10], [182] [184]. The contact resistance of metals on graphene was measured by the traditional transfer length method (TLM) for a several metals and the graphene carefully characterized. Robinson et al. [10] measured the Fig. 14. Process showing that surface preparation has a significant effect on the specific contact resistance of Ti to graphenen. (Reprinted with permission, Ó 2011 American Institute of Physics [10].) 1550 Proceedings of the IEEE Vol. 101, No. 7, July 2013

16 chemicals used in the processing and transfer of CVD graphene is much lower. However, the multilayer nature of graphene on SiC may introduce aspects in the understanding and controlling the contact resistance in single-layer graphene that have not been comprehended so far. Recently, Xia et al. [185] also reported on the reduction of the contact resistance of Pd to graphene down to about 170 W-cm, but this is still too high for most device applications. Therefore, it is important to continue to develop a basic understanding of the metal graphene interface chemistry. In addition to the basic understanding of the interface chemistry, it is also important to select the appropriate metal deposition technique in addition to graphene surface cleaning and preparation. Nagashio et al. [186] have recently reported that sputtered Ti led to extremely high resistivity in comparison to evaporated Ti. VI. SUMMARY Significant advancements have been made in the growth and integration of large-area graphene films over the past eight years or so. Freestanding extremely large graphene films can be grown using CVD on weakly interacting substrates like Cu with transport properties equivalent to graphene exfoliated from natural graphite. Because of the ability to grow very large-area and high-quality graphene films, the CVD growth process is enabling the development of many different applications, from displays to RF devices. In addition, the community is learning how to grow relatively large single crystals of graphene on metal surfaces that will hopefully help identify the material requirements for the most demanding devices. Because of the physical size demands and manufacturability, it is likely that CVD processes, in general, will win out over other graphene production means for HVM of graphene-based high-performance devices. Growth of graphene on SiC can provide very high-quality material but many applications require large substrate areas that this technique cannot currently provide. CVD of graphene on dielectrics would be a preferred process; however, there are still many challenges that face this approach, and more work needs to be done. The contact resistance also requires a significant amount of work in understanding metal graphene interface, and the effect of extrinsic factors of which at this stage of development there are many. Finally, an equivalently challenging problem is the scaling of gate dielectrics on graphene with a desired dielectric constant. While dielectrics have been scaled on Si down to about 1 nm in products and dielectrics of thicknesses much lower than 1 nm can de deposited or grown on Si, the deposition details of dielectrics on graphene still need more work. The use and development of2-dcrystalssuchashbnandtmdcouldhelpaddress the need for scaled dielectrics. h REFERENCES [1] FactSage, The integrated thermodynamic databank system, [Online]. Available: [2] X. Li, Y. Zhu, W. Cai, M. Borysiak, B. Han, D. Chen, R. D. Piner, L. Colombo, and R. S. Ruoff, Transfer of large-area graphene films for high-performance transparent conductive electrodes, Nano Lett., vol. 9, pp , [3] S. K. Banerjee, L. F. Register, E. Tutuc, D. Basu, S. Kim, D. Reddy, and A. H. MacDonald, Graphene for CMOS and beyond CMOS applications, Proc. IEEE, vol. 98, no. 12, pp , Dec [4] K. S. Novoselov, A. K. Geim, S. V. Morozov, D. Jiang, Y. Zhang, S. V. Dubonos, I. V. Grigorieva, and A. A. Firsov, Electric field effect in atomically thin carbon films, Science, vol. 306, pp , Oct [5] V. V. Cheianov, V. Fal ko, and B. L. Altshuler, The focusing of electron flow and a Veselago lens in graphene p-n junctions, Science, vol. 315, pp , Mar [6] Q. Zhang, T. Fang, H. L. Xing, A. Seabaugh, and D. Jena, Graphene nanoribbon tunnel transistors, IEEE Electron Device Lett., vol. 29, no. 12, pp , Dec [7] A. Pirkle, R. M. Wallace, and L. Colombo, In situ studies of Al 2 O 3 and HfO 2 dielectrics on graphite, Appl. Phys. Lett., vol. 95, 2009, [8] X. Li, W. Cai, L. Colombo, and R. S. Ruoff, Evolution of graphene growth on Ni and Cu by carbon isotope labeling, Nano Lett., vol. 9, pp , [9] X. Li, C. W. Magnuson, A. Venugopal, R. M. Tromp, J. B. Hannon, E. M. Vogel, L. Colombo, and R. S. Ruoff, Large-area graphene single crystals grown by low-pressure chemical vapor deposition of methane on copper, J. Amer. Chem. Soc., vol. 133, pp , [10] J. A. Robinson, M. LaBella, M. Zhu, M. Hollander, R. Kasarda, Z. Hughes, K. Trumbull, R. Cavalero, and D. Snyder, Contacting graphene, Appl. Phys. Lett., vol. 98, Jan. 2011, [11] X. S. Li, W. W. Cai, J. H. An, S. Kim, J. Nah, D. X. Yang, R. Piner, A. Velamakanni, I. Jung, E. Tutuc, S. K. Banerjee, L. Colombo, and R. S. Ruoff, Large-area synthesis of high-quality and uniform graphene films on copper foils, Science, vol. 324, pp , Jun [12] S. Bae, H. Kim, Y. Lee, X. F. Xu, J. S. Park, Y. Zheng, J. Balakrishnan, T. Lei, H. R. Kim, Y. I. Song, Y. J. Kim, K. S. Kim, B. Ozyilmaz, J. H. Ahn, B. H. Hong, and S. Iijima, Roll-to-roll production of 30-inch graphene films for transparent electrodes, Nature Nanotechnol., vol. 5, pp , Aug [13] F. Bonaccorso, Z. Sun, T. Hasan, and A. C. Ferrari, Graphene photonics and optoelectronics, Nature Photon., vol. 4, pp , Sep [14] S. Kim, J. Nah, I. Jo, D. Shahrjerdi, L. Colombo, Z. Yao, E. Tutuc, and S. K. Banerjee, Realization of a high mobility dual-gated graphene field-effect transistor with Al 2 O 3 dielectric, Appl. Phys. Lett., vol. 94, Feb. 2009, [15] J. Chan, A. Venugopal, A. Pirkle, S. McDonnell, D. Hinojos, C. W. Magnuson, R. S. Ruoff, L. Colombo, R. M. Wallace, and E. M. Vogel, Reducing extrinsic performance-limiting factors in graphene grown by chemical vapor deposition, ACS Nano, vol. 6, pp , [16] B. K. Lee, S. Y. Park, H. C. Kim, K. Cho, E. M. Vogel, M. J. Kim, R. M. Wallace, and J. Y. Kim, Conformal Al 2 O 3 dielectric layer deposited by atomic layer deposition for graphene-based nanoelectronics, Appl. Phys. Lett., vol. 92, May 2008, [17] B. Lee, G. Mordi, M. J. Kim, Y. J. Chabal, E. M. Vogel, R. M. Wallace, K. J. Cho, L. Colombo, and J. Kim, Characteristics of high-k Al 2 O 3 dielectric using ozone-based atomic layer deposition for dual-gated graphene devices, Appl. Phys. Lett., vol. 97, Jul. 2010, [18] A. Pirkle, J. Chan, A. Venugopal, D. Hinojos, C. W. Magnuson, S. McDonnell, L. Colombo, E. M. Vogel, R. S. Ruoff, and R. M. Wallace, The effect of chemical residues on the physical and electrical properties of chemical vapor deposited graphene transferred to SiO 2, Appl. Phys. Lett., vol. 99, 2011, [19] A. Pirkle, R. M. Wallace, and L. Colombo, In situ studies of Al 2 O 3 and HfO 2 dielectrics on graphite, Appl. Phys. Lett., vol. 95, 2009, [20] Y. Wang, Y. Zheng, X. F. Xu, E. Dubuisson, Q. L. Bao, J. Lu, and K. P. Loh, Electrochemical delamination of CVD-grown graphene film: Toward the recyclable use of copper catalyst, ACS Nano, vol. 5, pp , Dec [21] L. B. Gao, W. C. Ren, H. L. Xu, L. Jin, Z. X. Wang, T. Ma, L. P. Ma, Z. Y. Zhang, Q. Fu, L. M. Peng, X. H. Bao, and H. M. Cheng, Repeated growth and bubbling transfer of graphene with Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1551

17 millimetre-size single-crystal grains using platinum, Nature Commun., vol. 3, Feb. 2012, article 699. [22] F. Bonaccorso, A. Lombardo, T. Hasan, Z. P. Sun, L. Colombo, and A. C. Ferrari, Production and processing of graphene and 2D crystals, Mater. Today, vol. 15, pp , Dec [23] D. R. Dreyer, R. S. Ruoff, and C. W. Bielawski, From conception to realization: An historial account of graphene and some perspectives for its future, Angewandte Chemie Int. Ed., vol. 49, pp , [24] K. S. Novoselov, D. Jiang, F. Schedin, T. J. Booth, V. V. Khotkevich, S. V. Morozov, and A. K. Geim, Two-dimensional atomic crystals, Proc. Nat. Acad. Sci. USA, vol. 102, pp , Jul [25] A. J. Van Bommel, J. E. Crombeen, and A. Van Tooren, LEED and Auger electron observations of the SiC(0001) surface, Surf. Sci., vol. 48, pp , [26] R. Addou, A. Dahal, P. Sutter, and M. Batzill, Monolayer graphene growth on Ni(111) by low temperature chemical vapor deposition, Appl. Phys. Lett., vol. 100, Jan. 2012, [27] P. W. Sutter, P. M. Albrecht, and E. A. Sutter, Graphene growth on epitaxial Ru thin films on sapphire, Appl. Phys. Lett., vol. 97, Nov. 2010, [28] J. Coraux, A. T. N Diaye, M. Engler, C. Busse, D. Wall, N. Buckanie, F. Heringdorf, R. van Gastel, B. Poelsema, and T. Michely, Growth of graphene on Ir(111), New J. Phys., vol. 11, Feb. 2009, [29] H. Ago, Y. Ito, N. Mizuta, K. Yoshida, B. Hu, C. M. Orofeo, M. Tsuji, K. Ikeda, and S. Mizuno, Epitaxial chemical vapor deposition growth of single-layer graphene over cobalt film crystallized on sapphire, ACS Nano, vol. 4, pp , Dec [30] Y. Hernandez, V. Nicolosi, M. Lotya, F. M. Blighe, Z. Y. Sun, S. De, I. T. McGovern, B. Holland, M. Byrne, Y. K. Gun ko, J. J. Boland, P. Niraj, G. Duesberg, S. Krishnamurthy, R. Goodhue, J. Hutchison, V. Scardaci, A. C. Ferrari, and J. N. Coleman, High-yield production of graphene by liquid-phase exfoliation of graphite, Nature Nanotechnol., vol. 3, pp , Sep [31] X. L. Li, G. Y. Zhang, X. D. Bai, X. M. Sun, X. R. Wang, E. Wang, and H. J. Dai, Highly conducting graphene sheets and Langmuir-Blodgett films, Nature Nanotechnol., vol. 3, pp , Sep [32] C. Berger, Z. Song, T. Li, X. Li, A. Y. Ogbazghi, R. Feng, Z. Dai, A. N. Marchenkov, E. H. Conrad, P. N. First, and W. A. de Heer, Ultrathin epitaxial graphite: 2D electron gas properties and a route toward graphene-based nanoelectronics, J. Phys. Chem. B, vol. 108, pp , [33] J. Hass, F. Varchon, J. E. Millán-Otoya, M. Sprinkle, N. Sharma, W. A. de Heer, C. Berger, P. N. First, L. Magaud, and E. H. Conrad, Why multilayer graphene on 4H-SiC(0001) behaves like a single sheet of graphene, Phys. Rev. Lett., vol.100,2008, [34] P. N. First, W. A. de Heer, T. Seyller, C. Berger, J. A. Stroscio, and J. S. Moon, Epitaxial graphenes on silicon carbide, MRS Bull., vol. 35, pp , Apr [35] A. Hashimoto, H. Terasaki, K. Morita, H. Hibino, and S. Tanaka, A breakthrough toward wafer-size epitaxial graphene transfer, [Online]. Available: arxiv: [cond-mat.mtrl-sci]. [36] M. Y. Han, B. Özyilmaz, Y. Zhang, and P. Kim, Energy band-gap engineering of graphene nanoribbons, Phys. Rev. Lett., vol. 98, 2007, [37] X. Y. Yang, X. Dou, A. Rouhanipour, L. J. Zhi, H. J. Rader, and K. Mullen, Two-dimensional graphene nanoribbons, J. Amer. Chem. Soc., vol. 130, pp , Apr [38] S. Linden, D. Zhong, A. Timmer, N. Aghdassi, J. H. Franke, H. Zhang, X. Feng, K. Mullen, H. Fuchs, L. Chi, and H. Zacharias, Electronic structure of spatially aligned graphene nanoribbons on Au(788), Phys. Rev. Lett., vol. 108, May 2012, [39] S. Osella, A. Narita, M. G. Schwab, Y. Hernandez, X. L. Feng, K. Mullen, and D. Beljonne, Graphene nanoribbons as low band gap donor materials for organic photovoltaics: Quantum chemical aided design, ACS Nano, vol. 6, pp , Jun [40] P. Ruffieux, J. M. Cai, N. C. Plumb, L. Patthey, D. Prezzi, A. Ferretti, E. Molinari, X. L. Feng, K. Mullen, C. A. Pignedoli, and R. Fasel, Electronic structure of atomically precise graphene nanoribbons, ACS Nano, vol. 6, pp , Aug [41] A. Reina, X. T. Jia, J. Ho, D. Nezich, H. B. Son, V. Bulovic, M. S. Dresselhaus, and J. Kong, Large area, few-layer graphene films on arbitrary substrates by chemical vapor deposition, Nano Lett., vol. 9, pp , Jan [42] K. S. Kim, Y. Zhao, H. Jang, S. Y. Lee, J. M. Kim, J. H. Ahn, P. Kim, J. Y. Choi, and B. H. Hong, Large-scale pattern growth of graphene films for stretchable transparent electrodes, Nature, vol. 457, pp , Feb [43] Z. W. Peng, Z. Yan, Z. Z. Sun, and J. M. Tour, Direct growth of bilayer graphene on SiO 2 substrates by carbon diffusion through nickel, ACS Nano, vol. 5, pp , Oct [44] X. Li, C. W. Magnuson, A. Venugopal, J. An, J. W. Suk, B. Han, M. Borysiak, W. Cai, A. Velamakanni, Y. Zhu, L. Fu, E. M. Vogel, E. Voelkl, L. Colombo, and R. S. Ruoff, Graphene films with large domain size by a two-step chemical vapor deposition process, Nano Lett., vol. 10, pp , [45] N. Petrone, C. R. Dean, I. Meric, A. M. van der Zande, P. Y. Huang, L. Wang, D. Muller, K. L. Shepard, and J. Hone, Chemical vapor deposition-derived graphene with electrical performance of exfoliated graphene, Nano Lett., vol. 12, pp , Jun [46] G. H. Gao, W. Gao, E. Cannuccia, J. Taha-Tijerina, L. Balicas, A. Mathkar, T. N. Narayanan, Z. Liu, B. K. Gupta, J. Peng, Y. S. Yin, A. Rubio, and P. M. Ajayan, Artificially stacked atomic layers: Toward new van der Waals solids, Nano Lett., vol. 12, pp , Jul [47] Z. Liu, L. Song, S. Z. Zhao, J. Q. Huang, L. L. Ma, J. N. Zhang, J. Lou, and P. M. Ajayan, Direct growth of graphene/ hexagonal boron nitride stacked layers, Nano Lett., vol. 11, pp , May [48] M. Han, B. Ozyilmaz, Y. Zhang, P. Jarillo-Herero, and P. Kim, Electronic transport measurements in graphene nanoribbons, Phys. Stat. Sol. BVBasic Solid State Phys., vol. 244, pp , Nov [49] T. Ohta, A. Bostwick, T. Seyller, K. Horn, and E. Rotenberg, Controlling the electronic structure of bilayer graphene, Science, vol. 313, pp , Aug [50] E. V. Castro, K. S. Novoselov, S. V. Morozov, N. M. R. Peres, J. Dos Santos, J. Nilsson, F. Guinea, A. K. Geim, and A. H. C. Neto, Biased bilayer graphene: Semiconductor with a gap tunable by the electric field effect, Phys. Rev. Lett., vol. 99, Nov. 2007, [51] B. N. Szafranek, G. Fiori, D. Schall, D. Neumaier, and H. Kurz, Current saturation and voltage gain in bilayer graphene field effect transistors, Nano Lett., vol. 12, pp , Mar [52] G. Fiori and G. Iannaccone, Ultralow-voltage bilayer graphene tunnel FET, IEEE Electron Device Lett., vol. 30, no. 10, pp , Oct [53] G. Fiori and G. Iannaccone, On the possibility of tunable-gap bilayer graphene FET, IEEE Electron Device Lett., vol. 30, pp , Mar [54] H. Hosokawa, R. Sako, H. Ando, and H. Tsuchiya, Performance comparisons of bilayer graphene and graphene nanoribbon field-effect transistors under ballistic transport, Jpn. J. Appl. Phys., vol. 49, Nov. 2010, [55] K. Majumdar, K. Murali, N. Bhat, F. N. Xia, and Y. M. Lin, High on-off ratio bilayer graphene complementary field effect transistors, in Proc. IEEE Int. Electron Devices Meeting, 2010, pp [56] V. Ryzhii, M. Ryzhii, A. Satou, T. Otsuji, and N. Kirova, Device model for graphene bilayer field-effect transistor, J. Appl. Phys., vol. 105, May 2009, [57] V. Ryzhii, M. Ryzhii, A. Satou, T. Otsuji, and V. Mitin, Analytical device model for graphene bilayer field-effect transistors using weak nonlocality approximation, J. Appl. Phys., vol. 109, Mar. 2011, [58] D. Svintsov, V. Vyurkov, V. Ryzhii, and T. Otsuji, Effect of Mexican Hat on graphene bilayer field-effect transistor characteristics, Jpn. J. Appl. Phys., vol. 50, Jul. 2011, [59] Y. B. Zhang, T. T. Tang, C. Girit, Z. Hao, M. C. Martin, A. Zettl, M. F. Crommie, Y. R. Shen, and F. Wang, Direct observation of a widely tunable bandgap in bilayer graphene, Nature, vol. 459, pp , Jun [60] J. R. Kyle, C. S. Ozkan, and M. Ozkan, Industrial graphene metrology, Nanoscale, vol. 4, no. 13, pp , [61] C. M. Garner, D. Herr, and Y. Obeng, Metrology and characterization challenges for emerging research materials and devices, in Proc. Front. Characterization Metrology Nanoelectron., vol. 1395, D. G. Seiler, A. C. Diebold, R. McDonald, A. Chabli, and E. M. Secula, Eds., 2011, vol. 1395, pp [62] A. Tzalenchuk, S. Lara-Avila, K. Cedergren, M. Syväjärvi, R. Yakimova, O. Kazakova, T. J. B. M. Janssen, K. Moth-Poulsen, T. Bjørnholm, S. Kopylov, V. Fal ko, and S. Kubatkin, Engineering and metrology of epitaxial graphene, Solid State Commun., vol. 151, pp , [63] P. Blake, E. W. Hill, A. H. C. Neto, K. S. Novoselov, D. Jiang, R. Yang, T. J. Booth, and A. K. Geim, Making graphene visible, Appl. Phys. Lett., vol. 91, 2007, [64] I. Jung, J. S. Rhyee, J. Y. Son, R. S. Ruoff, and K. Y. Rhee, Colors of graphene and 1552 Proceedings of the IEEE Vol.101,No.7,July2013

18 graphene-oxide multilayers on various substrates, Nanotechnology, vol. 23, Jan. 2012, [65] F. Nelson, V. Kamineni, T. Zhang, E. Comfort, J. U. Lee, and A. Diebold, Spectroscopic ellipsometry of CVD graphene, ECS Trans., vol. 35, pp , [66] A. Hashimoto, K. Suenaga, A. Gloter, K. Urita, and S. Iijima, Direct evidence for atomic defects in graphene layers, Nature, vol. 430, pp , [67] F. Nelson, A. C. Diebold, and R. Hull, Simulation study of aberration-corrected high-resolution transmission electron microscopy imaging of few-layer-graphene stacking, Microscopy Microanal., vol. 16, pp , [68] E. Bauer, Low energy electron microscopy, Rep. Progr. Phys., vol. 57, pp , Sep [69] P. Sutter, J. T. Sadowski, and E. Sutter, Graphene on Pt(111): Growth and substrate interaction, Phys. Rev. B, vol. 80, Dec. 2009, [70] R. M. Tromp and J. B. Hannon, Thermodynamics and kinetics of graphene growth on SiC(0001), Phys. Rev. Lett., vol. 102, Mar. 2009, [71] J. M. Wofford, S. Nie, K. F. McCarty, N. C. Bartelt, and O. D. Dubon, Graphene Islands on Cu foils: The interplay between shape, orientation, and defects, Nano Lett., vol. 10, pp , [72] H. Hattab, A. T. N Diaye, D. Wall, C. Klein, G. Jnawali, J. Coraux, C. Busse, R. van Gastel, B. Poelsema, T. Michely, F. Heringdorf, and M. Horn-von Hoegen, Interplay of wrinkles, strain, and lattice parameter in graphene on iridium, Nano Lett., vol. 12, pp , Feb [73] M. Ishigami, J. H. Chen, W. G. Cullen, M. S. Fuhrer, and E. D. Williams, Atomic structure of graphene on SiO 2, Nano Lett., vol. 7, pp , [74] C. H. Lui, L. Liu, K. F. Mak, G. W. Flynn, and T. F. Heinz, Ultraflat graphene, Nature, vol. 462, pp , [75] A. C. Ferrari and J. Robertson, Resonant Raman spectroscopy of disordered, amorphous, and diamondlike carbon, Phys. Rev. B, vol. 64, 2001, [76] Y. Wang, D. C. Alsmeyer, and R. L. McCreery, Raman spectroscopy of carbon materials: Structural basis of observed spectra, Chem. Mater., vol. 2, pp , [77] L. M. Malard, M. A. Pimenta, G. Dresselhaus, and M. S. Dresselhaus, Raman spectroscopy in graphene, Phys. Rep.VRev. Sec. Phys. Lett., vol. 473, pp , Apr [78] K. Siegbahn, A discussion on photoelectron spectroscopy, Philosoph. Trans. Roy. Soc. Lond. A, Math. Phys. Sci., vol. 268, pp , [79] S. T. Jackson and R. G. Nuzzo, Determining hybridization differences for amorphous carbon from the XPS C 1s envelope, Appl. Surf. Sci., vol. 90, pp , [80] J. A. Leiro, M. H. Heinonen, T. Laiho, and I. G. Batirev, Core-level XPS spectra of fullerene, highly oriented pyrolitic graphite, and glassy carbon, J. Electron Spectroscopy Related Phenomena, vol. 128, pp , [81] H. Estrade-Szwarckopf, XPS photoemission in carbonaceous materials: A defect peak beside the graphitic asymmetric peak, Carbon, vol. 42, pp , [82] A. Y. Tontegode, Carbon in transition-metal surfaces, Progr. Surf. Sci., vol. 38, pp , [83] A. E. Karu and M. Beer, Pyrolitic formation of highly crystalline graphite films, J. Appl. Phys., vol. 37, 1966, article [84] J. C. Shelton, H. R. Patil, and J. M. Blakely, Equilibrium segregation of carbon to a nickel(111) surface: A surface phase transition, Surf. Sci., vol. 43, pp , [85] L. C. Isett and J. M. Blakely, Segregation isosteres for carbon at the (100) surface of nickel, Surf. Sci., vol. 58, pp , [86] L. C. Isett and J. M. Blakely, Binding of carbon atoms at a steppedvni surface, J. Vac. Sci. Technol., vol. 12, pp , [87] M. Eizenberg and J. M. Blakely, Carbon monolayer phase condensation on Ni(111), Surf. Sci., vol. 82, pp , [88] M. Eizenberg and J. M. Blakely, Carbon interaction with nickel surfaces: Monolayer formation and structural stability, J. Chem. Phys., vol. 71, pp , [89] J. Wintterlin and M. L. Bocquet, Graphene on metal surfaces, Surf. Sci., vol. 603, pp , [90] M. Batzill, The surface science of graphene: Metal interfaces, CVD synthesis, nanoribbons, chemical modifications, and defects, Surf. Sci. Rep., vol. 67, pp , Mar [91] A. Ismach, C. Druzgalski, S. Penwell, A. Schwartzberg, M. Zheng, A. Javey, J. Bokor, and Y. G. Zhang, Direct chemical vapor deposition of graphene on dielectric surfaces, Nano Lett., vol. 10, pp , May [92] S. Gaddam, C. Bjelkevig, S. P. Ge, K. Fukutani, P. A. Dowben, and J. A. Kelber, Direct graphene growth on MgO: Origin of the band gap, J. Phys., Condensed Matter, vol. 23, Feb. 2011, [93] M. Zhou, F. L. Pasquale, P. A. Dowben, A. Boosalis, M. Schubert, V. Darakchieva, R. Yakimova, L. M. Kong, and J. A. Kelber, Direct graphene growth on Co 3 O 4 ð111þ by molecular beam epitaxy, J. Phys., Condensed Matter, vol. 24, Feb. 2012, [94] L. Ci, L. Song, C. H. Jin, D. Jariwala, D. X. Wu, Y. J. Li, A. Srivastava, Z. F. Wang, K. Storr, L. Balicas, F. Liu, and P. M. Ajayan, Atomic layers of hybridized boron nitride and graphene domains, Nature Mater., vol. 9, pp , May [95] G. Xu, J. C. M. Torres, J. Bai, J. Tang, T. Yu, Y. Huang, X. Duan, Y. Zhang, and K. L. Wang, Linewidth roughness in nanowire-mask-based graphene nanoribbons, Appl. Phys. Lett., vol. 98, 2011, [96] M. Luisier and G. Klimeck, Performance analysis of statistical samples of graphene nanoribbon tunneling transistors with line edge roughness, Appl. Phys. Lett., vol. 94, 2009, [97] Y. Yoon and J. Guo, Effect of edge roughness in graphene nanoribbon transistors, Appl. Phys. Lett., vol. 91, 2007, [98] S. Lee, K. Lee, and Z. H. Zhong, Wafer scale homogeneous bilayer graphene films by chemical vapor deposition, Nano Lett., vol. 10, pp , Nov [99] K. Yan, H. L. Peng, Y. Zhou, H. Li, and Z. F. Liu, Formation of bilayer bernal graphene: Layer-by-layer epitaxy via chemical vapor deposition, Nano Lett., vol. 11, pp , Mar [100] N. G. Shang, P. Papakonstantinou, M. McMullan, M. Chu, A. Stamboulis, A. Potenza, S. S. Dhesi, and H. Marchetto, Catalyst-free efficient growth, orientation and biosensing properties of multilayer graphene nanoflake films with sharp edge planes, Adv. Funct. Mater., vol. 18, pp , Nov [101] M. Sprinkle, M. Ruan, Y. Hu, J. Hankinson, M. Rubio-Roy, B. Zhang, X. Wu, C. Berger, and W. A. de Heer, Scalable templated growth of graphene nanoribbons on SiC, Nature Nanotechnol., vol. 5, pp , Oct [102] Y. K. Hu, M. Ruan, Z. L. Guo, R. Dong, J. Palmer, J. Hankinson, C. Berger, and W. A. de Heer, Structured epitaxial graphene: Growth and properties, J. Phys. D, Appl. Phys., vol. 45, Apr. 2012, [103] J. L. Tedesco, B. L. VanMil, R. L. Myers-Ward, J. M. McCrate, S. A. Kitt, P. M. Campbell, G. G. Jernigan, J. C. Culbertson, C. R. Eddy, and D. K. Gaskill, Hall effect mobility of epitaxial graphene grown on silicon carbide, Appl. Phys. Lett., vol. 95, Sep. 2009, [104] J. D. Caldwell, T. J. Anderson, J. C. Culbertson, G. G. Jernigan, K. D. Hobart, F. J. Kub, M. J. Tadjer, J. L. Tedesco, J. K. Hite, M. A. Mastro, R. L. Myers-Ward, C. R. Eddy, P. M. Campbell, and D. K. Gaskill, Technique for the dry transfer of epitaxial graphene onto arbitrary substrates, ACS Nano, vol. 4, pp , Feb [105] L. O. Nyakiti, R. L. Myers-Ward, V. D. Wheeler, E. A. Imhoff, F. J. Bezares, H. Chun, J. D. Caldwell, A. L. Friedman, B. R. Matis, J. W. Baldwin, P. M. Campbell, J. C. Culbertson, C. R. Eddy, G. G. Jernigan, and D. K. Gaskill, Bilayer graphene grown on 4H-SiC (0001) step-free mesas, Nano Lett., vol. 12, pp , Apr [106] L. J. Zhi and K. Mullen, A bottom-up approach from molecular nanographenes to unconventional carbon materials, J. Mater. Chem., vol. 18, pp , [107] X. Jia, J. Campos-Delgado, M. Terrones, V. Meunier, and M. S. Dresselhaus, Graphene edges: A review of their fabrication and characterization, Nanoscale, vol. 3, pp , [108] L. Chen, Y. Hernandez, X. L. Feng, and K. Mullen, From nanographene and graphene nanoribbons to graphene sheets: Chemical synthesis, Angewandte ChemieVInt. Ed., vol. 51, pp , [109] P. Sutter, M. S. Hybertsen, J. T. Sadowski, and E. Sutter, Electronic structure of few-layer epitaxial graphene on Ru(0001), Nano Lett., vol. 9, pp , Jul [110] D. V. Kosynkin, A. L. Higginbotham, A. Sinitskii, J. R. Lomeda, A. Dimiev, B. K. Price, and J. M. Tour, Longitudinal unzipping of carbon nanotubes to form graphene nanoribbons, Nature, vol. 458, Apr. 2009, 872-U5. [111] M. Lotya, Y. Hernandez, P. J. King, R. J. Smith, V. Nicolosi, L. S. Karlsson, F. M. Blighe, S. De, Z. M. Wang, I. T. McGovern, G. S. Duesberg, and J. N. Coleman, Liquid phase production of graphene by exfoliation of graphite in surfactant/water solutions, J. Amer. Chem. Soc., vol. 131, pp , Mar [112] S. Yoshii, K. Nozawa, K. Toyoda, N. Matsukawa, A. Odagawa, and Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1553

19 A. Tsujimura, Suppression of inhomogeneous segregation in graphene growth on epitaxial metal films, Nano Lett., vol. 11, pp , Jul [113] D. Knipp, R. A. Street, A. Volkel, and J. Ho, Pentacene thin film transistors on inorganic dielectrics: Morphology, structural properties, and electronic transport, J. Appl. Phys., vol. 93, pp , Jan [114] T. W. Kelley, P. F. Baude, C. Gerlach, D. E. Ender, D. Muyres, M. A. Haase, D. E. Vogel, and S. D. Theiss, Recent progress in organic electronics: Materials, devices, and processes, Chem. Mater., vol. 16, pp , Nov [115] I. Mejia, A. L. Salas-Villasenor, A. Avendano-Bolivar, J. Horvath, H. Stiegler, B. E. Gnade, and M. A. Quevedo-Lopez, Low-temperature hybrid CMOS circuits based on chalcogenides and organic TFTs, IEEE Electron Device Lett., vol. 32, no. 8, pp , Aug [116] W. Yanjie, M. Congqin, H. Bo-chao, Z. Jing, L. Wei, P. Youngju, X. Ya-hong, and J. C. S. Woo, Scalable synthesis of graphene on patterned Ni and transfer, IEEE Trans. Electron Devices, vol. 57, no. 12, pp , Dec [117] Q. K. Yu, L. A. Jauregui, W. Wu, R. Colby, J. F. Tian, Z. H. Su, H. L. Cao, Z. H. Liu, D. Pandey, D. G. Wei, T. F. Chung, P. Peng, N. P. Guisinger, E. A. Stach, J. M. Bao, S. S. Pei, and Y. P. Chen, Control and characterization of individual grains and grain boundaries in graphene grown by chemical vapour deposition, Nature Mater., vol. 10, pp , Jun [118] X. S. Li, C. W. Magnuson, A. Venugopal, R. M. Tromp, J. B. Hannon, E. M. Vogel, L. Colombo, and R. S. Ruoff, Large-area graphene single crystals grown by low-pressure chemical vapor deposition of methane on copper, J. Amer. Chem. Soc., vol. 133, pp , Mar [119] S. Nie, W. Wu, S. R. Xing, Q. K. Yu, J. M. Bao, S. S. Pei, and K. F. McCarty, Growth from below: Bilayer graphene on copper by chemical vapor deposition, New J. Phys., vol. 14, Sep. 2012, [120] J. B. Sun, J. B. Hannon, R. M. Tromp, P. Johari, A. A. Bol, V. B. Shenoy, and K. Pohl, Spatially-resolved structure and electronic properties of graphene on polycrystalline Ni, ACS Nano, vol. 4, pp , Dec [121] J. M. Cai, P. Ruffieux, R. Jaafar, M. Bieri, T. Braun, S. Blankenburg, M. Muoth, A. P. Seitsonen, M. Saleh, X. L. Feng, K. Mullen, and R. Fasel, Atomically precise bottom-up fabrication of graphene nanoribbons, Nature, vol. 466, pp , Jul [122] A. Naeemi and J. D. Meindl, Conductance modeling for Graphene Nanoribbon (GNR) interconnects, IEEE Electron Device Lett., vol. 28, no. 5, pp , May [123] S. Hong, Y. Yoon, and J. Guo, Metal-semiconductor junction of graphene nanoribbons, Appl. Phys. Lett., vol. 92, 2008, [124] A. C. Ferrari, J. C. Meyer, V. Scardaci, C. Casiraghi, M. Lazzeri, F. Mauri, S. Piscanec, D. Jiang, K. S. Novoselov, S. Roth, and A. K. Geim, Raman spectrum of graphene and graphene layers, Phys. Rev. Lett., vol. 97, 2006, [125] C. Casiraghi, S. Pisana, K. S. Novoselov, A. K. Geim, and A. C. Ferrari, Raman fingerprint of charged impurities in graphene, Appl. Phys. Lett., vol. 91, Dec. 2007, [126] A. Das, S. Pisana, B. Chakraborty, S. Piscanec, S. K. Saha, U. V. Waghmare, K. S. Novoselov, H. R. Krishnamurthy, A. K. Geim, A. C. Ferrari, and A. K. Sood, Monitoring dopants by Raman scattering in an electrochemically top-gated graphene transistor, Nature Nanotechnol., vol. 3, pp , Apr [127] X. S. Li, W. W. Cai, L. Colombo, and R. S. Ruoff, Evolution of graphene growth on Ni and Cu by carbon isotope labeling, Nano Lett., vol. 9, pp , Dec [128] Y. M. Lin, A. Valdes-Garcia, S. J. Han, D. B. Farmer, I. Meric, Y. N. Sun, Y. Q. Wu, C. Dimitrakopoulos, A. Grill, P. Avouris, and K. A. Jenkins, Wafer-scale graphene integrated circuit, Science, vol. 332, pp , Jun [129] Q. Yu, J. Lian, S. Siriponglert, H. Li, Y. P. Chen, and S.-S. Pei, Graphene segregated on Ni surfaces and transferred to insulators, Appl. Phys. Lett., vol. 93, 2008, [130] X. K. Lu, M. F. Yu, H. Huang, and R. S. Ruoff, Tailoring graphite with the goal of achieving single sheets, Nanotechnology, vol. 10, pp , Sep [131] J. W. Suk, A. Kitt, C. W. Magnuson, Y. F. Hao, S. Ahmed, J. H. An, A. K. Swan, B. B. Goldberg, and R. S. Ruoff, Transfer of CVD-grown monolayer graphene onto arbitrary substrates, ACS Nano, vol. 5, pp , Sep [132] E. H. Lock, M. Baraket, M. Laskoski, S. P. Mulvaney, W. K. Lee, P. E. Sheehan, D. R. Hines, J. T. Robinson, J. Tosado, M. S. Fuhrer, S. C. Hernandez, and S. G. Waltont, High-quality uniform dry transfer of graphene to polymers, Nano Lett., vol. 12, pp , Jan [133] Z. Cheng, Q. Zhou, C. Wang, Q. Li, C. Wang, and Y. Fang, Toward intrinsic graphene surfaces: A systematic study on thermal annealing and wet-chemical treatment of SiO 2 -supported graphene devices, Nano Lett., vol. 11, pp , [134] C. R. Dean, A. F. Young, I. Meric, C. Lee, L. Wang, S. Sorgenfrei, K. Watanabe, T. Taniguchi, P. Kim, K. L. Shepard, and J. Hone, Boron nitride substrates for high-quality graphene electronics, Nature Nanotechnol., vol. 5, pp , Oct [135] G. H. Lee, Y. J. Yu, C. Lee, C. Dean, K. L. Shepard, P. Kim, and J. Hone, Electron tunneling through atomically flat and ultrathin hexagonal boron nitride, Appl. Phys. Lett., vol. 99, Dec. 2011, [136] L. Song, L. J. Ci, H. Lu, P. B. Sorokin, C. H. Jin, J. Ni, A. G. Kvashnin, D. G. Kvashnin, J. Lou, B. I. Yakobson, and P. M. Ajayan, Large scale growth and characterization of atomic hexagonal boron nitride layers, Nano Lett., vol. 10, pp , Aug [137] T. Taniguchi and K. Watanabe, Synthesis of high-purity boron nitride single crystals under high pressure by using Ba-BN solvent, J. Crystal Growth, vol. 303, pp , May [138] I. Meric, M. Y. Han, A. F. Young, B. Ozyilmaz, P. Kim, and K. L. Shepard, Current saturation in zero-bandgap, topgated graphene field-effect transistors, Nature Nanotechnol., vol. 3, pp , Nov [139] F. Schwierz, Graphene transistors, Nature Nanotechnol., vol. 5, pp , Jul [140] H. J. Dai, A. Javey, E. Pop, D. Mann, W. Kim, and Y. R. Lu, Electrical transport properties and field effect transistors of carbon nanotubes, NANO: Brief Rep. Rev., vol. 1, pp. 1 13, Jul [141] A. Javey, H. Kim, M. Brink, Q. Wang, A. Ural, J. Guo, P. McIntyre, P. McEuen, M. Lundstrom, and H. J. Dai, High-kappa dielectrics for advanced carbon-nanotube transistors and logic gates, Nature Mater., vol. 1, pp , Dec [142] R. L. Puurunen, Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process, J. Appl. Phys., vol. 97, Jun. 2005, [143] D. B. Farmer and R. G. Gordon, Atomic layer deposition on suspended single-walled carbon nanotubes via gas-phase noncovalent functionalization, Nano Lett., vol. 6, pp , Apr [144] J. Kong, N. R. Franklin, C. W. Zhou, M. G. Chapline, S. Peng, K. J. Cho, and H. J. Dai, Nanotube molecular wires as chemical sensors, Science, vol. 287, pp , Jan [145] S. Peng and K. J. Cho, Chemical control of nanotube electronics, Nanotechnology, vol. 11, pp , Jun [146] Y. Xuan, Y. Q. Wu, T. Shen, M. Qi, M. A. Capano, J. A. Cooper, and P. D. Ye, Atomic-layer-deposited nanostructures for graphene-based nanoelectronics, Appl. Phys. Lett., vol. 92, Jan. 2008, [147] J. R. Williams, L. DiCarlo, and C. M. Marcus, Quantum hall effect in a gate-controlled p-n junction of graphene, Science, vol. 317, pp , Aug [148] Y. M. Lin, K. A. Jenkins, A. Valdes-Garcia, J. P. Small, D. B. Farmer, and P. Avouris, Operation of graphene transistors at gigahertz frequencies, Nano Lett., vol. 9, pp , Jan [149] A. Pirkle, S. McDonnell, B. Lee, J. Kim, L. Colombo, and R. Wallace, The effect of graphite surface condition on the composition of Al 2 O 3 by atomic layer deposition, Appl. Phys. Lett., vol. 97, 2010, [150] L. Liu, S. M. Ryu, M. R. Tomasik, E. Stolyarova, N. Jung, M. S. Hybertsen, M. L. Steigerwald, L. E. Brus, and G. W. Flynn, Graphene oxidation: Thickness-dependent etching and strong chemical doping, Nano Lett., vol. 8, pp , Jul [151] S. Adam, E. H. Hwang, V. M. Galitski, and S. Das Sarma, A self-consistent theory for graphene transport, Proc. Nat. Acad. Sci. USA, vol. 104, pp , Nov [152] G. Lee, B. Lee, J. Kim, and K. Cho, Ozone adsorption on graphene: Ab initio study and experimental validation, J. Phys. Chem. C, vol. 113, pp , Aug [153] S. Jandhyala, G. Mordi, B. Lee, G. Lee, C. Floresca, P.-R. Cha, J. Ahn, R. M. Wallace, Y. J. Chabal, M. J. Kim, L. Colombo, K. Cho, and J. Kim, Atomic layer deposition of dielectrics on graphene using reversibly physisorbed ozone, ACS Nano, vol. 6, pp , [154] S. McDonnell, A. Pirkle, J. Kim, L. Colombo, and R. M. Wallace, Trimethyl-aluminum and ozone interactions with graphite in atomic layer deposition of Al2O3, J. Appl. Phys., vol. 112, Nov. 2012, [155] X. R. Wang, S. M. Tabakman, and H. J. Dai, Atomic layer deposition of metal oxides on pristine and functionalized graphene, J. Amer. Chem. Soc., vol. 130, pp , Jul Proceedings of the IEEE Vol. 101, No. 7, July 2013

20 [156] J. M. P. Alaboson, Q. H. Wang, J. D. Emery, A. L. Lipson, M. J. Bedzyk, J. W. Elam, M. J. Pellin, and M. C. Hersam, Seeding atomic layer deposition of high-k dielectrics on epitaxial graphene with organic self-assembled monolayers, ACS Nano, vol. 5, pp , Jun [157] B. Ozyilmaz, P. Jarillo-Herrero, D. Efetov, and P. Kim, Electronic transport in locally gated graphene nanoconstrictions, Appl. Phys. Lett., vol. 91, Nov. 2007, [158] JSR Micro, IncNFC CP, Sunnyvale, CA, USA. [159] D. B. Farmer, H. Y. Chiu, Y. M. Lin, K. A. Jenkins, F. N. Xia, and P. Avouris, Utilization of a buffered dielectric to achieve high field-effect carrier mobility in graphene transistors, Nano Lett., vol. 9, pp , Dec [160] M. P. Seah and S. J. Spencer, Ultrathin SiO 2 on Si. I. Quantifying and removing carbonaceous contamination, J. Vac. Sci. Technol. A, vol. 21, pp , Mar. Apr [161] S. Ingrey, III-V surface processing, J. Vac. Sci. Technol. A, vol. 10, 1992, article 829. [162] F. A. Stevie, E. P. Martin, P. M. Kahora, J. T. Cargo, A. K. Nanda, A. S. Harrus, A. J. Muller, and H. W. Krautter, Boron contamination of surfaces in silicon microelectronics processingv Characterization and causes, J. Vac. Sci. Technol. A, Vac. Surf. Films, vol. 9, pp , Sep. Oct [163] G. D. Wilk, R. M. Wallace, and J. M. Anthony, High- gate dielectrics: Current status and materials properties considerations, J. Appl. Phys., vol. 89, pp , May [164] S. Ramanathan, C. M. Park, and P. C. McIntyre, Electrical properties of thin film zirconia grown by ultraviolet ozone oxidation, J. Appl. Phys., vol. 91, pp , Apr [165] A. Chin, Y. H. Wu, S. B. Chen, C. C. Liao, and W. J. Chen, High quality La 2 O 3 and Al 2 O 3 gate dielectrics with equivalent oxide thickness 5 10 Angstrom, in Dig. Tech. Papers IEEE Symp. Very Large Scale Integr. Technol., 2000, pp [166] M. J. Dignam, W. R. Fawcett, and H. Bohni, Kinetics and mechanism of oxidation of superpurity aluminum in dry oxygen. I. Apparatus description and growth of amorphous oxide, J. Electrochem. Soc., vol. 113, pp , [167] B. Fallahazad, K. Lee, G. Lian, S. Kim, C. M. Corbet, D. A. Ferrer, L. Colombo, and E. Tutuc, Scaling of Al 2 O 3 dielectric for graphene field-effect transistors, Appl. Phys. Lett., vol. 100, 2012, [168] M. C. Lemme, T. J. Echtermeyer, M. Baus, and H. Kurz, A graphene field-effect device, IEEE Electron Device Lett., vol. 28, no. 4, pp , Apr [169] M. C. Lemme, T. J. Echtermeyer, M. Baus, B. N. Szafranek, J. Bolten, M. Schmidt, T. Wahlbrink, and H. Kurz, Mobility in graphene double gate field effect transistors, Solid-State Electron., vol. 52, pp , Apr [170] S. Banerjee, M. Sardar, N. Gayathri, A. K. Tyagi, and B. Raj, Enhanced conductivity in graphene layers and at their edges, Appl. Phys. Lett., vol. 88, Feb. 2006, [171] Y. W. Zhang, L. Wan, X. H. Cheng, Z. J. Wang, C. Xia, D. Cao, T. T. Jia, and Y. H. Yu, Studies on H 2 O-based atomic layer deposition of Al 2 O 3 dielectric on pristine graphene, J. Inorganic Mater., vol. 27, pp , Sep [172] J. A. Wilson and A. D. Yoffe, Transition metal dichalcogenide discussion and interpretation of observed optical, electrical, and structural properties, Adv. Phys., vol. 18, pp , [173] V. Podzorov, M. E. Gershenson, C. Kloc, R. Zeis, and E. Bucher, High-mobility field-effect transistors based on transition metal dichalcogenides, Appl. Phys. Lett., vol. 84, pp , [174] A. Ayari, E. Cobas, O. Ogundadegbe, and M. S. Fuhrer, Realization and electrical characterization of ultrathin crystals of layered transition-metal dichalcogenides, J. Appl. Phys., vol. 101, 2007, [175] D. Briggs and M. P. Seah, Practical Surface Analysis, 2nd ed. New York, NY, USA: Wiley, 1990, vol. I. [176] Qualitative inorganic analysis. By A. J. Berry, M. A. Pp. viii+147. Cambridge: University Press, s, J. Soc. Chem. Ind., vol. 57, pp , [177] B. Fallahazad, S. Kim, L. Colombo, and E. Tutuc, Dielectric thickness dependence of carrier mobility in graphene with HfO 2 top dielectric, Appl. Phys. Lett., vol. 97, 2010, [178] S. Kim, J. Nah, I. Jo, D. Shahrjerdi, L. Colombo, Z. Yao, E. Tutuc, and S. K. Banerjee, Realization of a high mobility dual-gated graphene field-effect transistor with Al 2 O 3 dielectric, Appl. Phys. Lett., vol. 94, 2009, [179] Q. Chen, H. Huang, W. Chen, A. T. S. Wee, Y. P. Feng, J. W. Chai, Z. Zhang, J. S. Pan, and S. J. Wang, In situ photoemission spectroscopy study on formation of HfO 2 dielectrics on epitaxial graphene on SiC substrate, Appl. Phys. Lett., vol. 96, 2010, [180] L. Liao, J. Bai, Y. Qu, Y.-C. Lin, Y. Li, Y. Huang, and X. Duan, High- oxide nanoribbons as gate dielectrics for high mobility top-gated graphene transistors, Proc. Nat. Acad. Sci., vol. 107, pp , Apr. 13, [181] G. Mordi, S. Jandhyala, C. Floresca, S. McDonnell, M. J. Kim, R. M. Wallace, L. Colombo, and J. Kim, Low-kappa organic layer as a top gate dielectric for graphene field effect transistors, Appl. Phys. Lett., vol. 100, 2012, [182] A. Venugopal, L. Colombo, and E. M. Vogel, Contact resistance in few and multilayer graphene devices, Appl. Phys. Lett., vol. 96, 2010, [183] A. Venugopal, L. Colombo, and E. M. Vogel, Issues with characterizing transport properties of graphene field effect transistors, Solid State Commun., vol. 152, pp , Aug [184] K. Nagashio, T. Nishimura, K. Kita, and A. Toriumi, Systematic investigation of the intrinsic channel properties and contact resistance of monolayer and multilayer graphene field-effect transistor, Jpn. J. Appl. Phys., vol. 49, May 2010, [185] F. N. Xia, V. Perebeinos, Y. M. Lin, Y. Q. Wu, and P. Avouris, The origins and limits of metal-graphene junction resistance, Nature Nanotechnol., vol. 6, pp , Mar [186] K. Nagashio, T. Nishimura, K. Kita, and A. Toriumi, Metal/graphene contact as a performance killer of ultra-high mobility graphenevanalysis of intrinsic mobility and contact resistance, in Proc. IEEE Int. Electron Devices Meeting, 2009, pp ABOUT THE AUTHORS Luigi Colombo (Fellow, IEEE) received the B.S. degree in physics from the Iona College, New Rochelle, NY, USA, in 1975 and the Ph.D. degree in materials science from the University of Rochester, Rochester, NY, USA, in From 1980 to 1981, he was a Postdoctoral Fellow at the Mechanical and Aerospace Science Department, University of Rochester, Rochester, NY,USA.In1981,hejoinedTexasInstruments(TI) Incorporated, Dallas, TX, USA, where he first worked on infrared detector materials until Over this period, he developed a HgCdZnTe liquid phase epitaxy process, which he also put in production in 1991, and it is still in production today. Since then, he has been responsible for the development of high-k capacitor metal insulator metal structures for dynamic random access memories, development of high-k gate/metal gate transistor gate stack using Hf-based dielectrics, and low-leakage SiON-based 45-nm transistor gate stack development. He is currently a TI Fellow in the External Research Development Group at TI, where he is responsible for the development of new materials such as graphene and its integration in new device flows for beyond complementary metal oxide semiconductor (CMOS) device technology as part of the Nanoelectronics Research Initiative. He is also an Adjunct Professor in the Department of Materials Science and Engineering, University of Texas at Dallas. He is the author or coauthor of more than 140 publications in peer-reviewed journals and proceedings, more than 100 contributed and 60 invited talks at international meetings and symposia, has written three chapters in edited books, and holds a total of 107 U.S. and international patents. He is on the European Community Graphene Flagship Advisory Board, the AIP Corporate Associates Advisory Committee, Cambridge University Graphene Centre Advisory Board, and the Solid State Electronics Editorial Board. Dr. Colombo is a member of the American Physical Society, the Material Research Society, the American Vacuum Society, and the Electrochemical Society. In 2012, he was elected to IEEE Fellow for his contributions to infrared detector materials and devices and high-k dielectrics in integrated circuits. Vol. 101, No. 7, July 2013 Proceedings of the IEEE 1555

21 Robert M. Wallace (Fellow, IEEE) received the Ph.D. degree in physics from the University of Pittsburgh, Pittsburgh, PA, USA, in 1982, 1984, and 1988, respectively. He was then a Postdoctoral Research Associate in Chemistry with the Pittsburgh Surface Science Center, Pittsburgh, PA, USA. In 1990, he joined as a Member of Technical Staff (MTS) with the Texas Instruments Central Research Laboratories, Dallas, TX, USA, where he was elected Senior MTS in In 1997, he was appointed to manage the Advanced Technology Branch that focused on advanced device concepts and the associated material integration issues. In May 1999, he joined as Professor of Materials Science and Director of the new Laboratory for Electronic Materials and Devices with the University of North Texas, Denton, TX, USA. In 2003, he joined as Professor of Electrical Engineering and Physics with the University of Texas at Dallas (UT-Dallas), Richardson, TX, USA. He has authored or coauthored over 225 publications in peer-reviewed journals and proceedings and 70 U.S. and international patents. His research interests include materials and integration issues for advanced logic and memory technologies. Dr. Wallace serves on the editorial board of the Journal of Materials Science: Materials in Electronics and Applied Surface Science. Hewas named Fellow of the American Vacuum Society (AVS) in 2007 and an IEEE Fellow in 2009 for his contributions to the field of high-k dielectrics in integrated circuits. He holds the Erik Jonsson Distinguished Chair in the Erik Jonsson School of Engineering and Computer Science at UT-Dallas. He is also a member of the AVS, the Materials Research Society, the American Chemical Society, and the Electrochemical Society. Rodney S. Ruoff received the Ph.D. degree in chemical physics from the University of Illinois at Urbana-Champaign,Urbana,IL,USA,in1988. He joined the University of Texas at Austin (UT-Austin), Austin, TX, USA, as a Cockrell Family Regents endowed chair in September He was a Fulbright Fellow in at the Max Planck Institute fuer Stroemungsforschung, Goettingen, Germany. Prior to joining UT-Austin, he was the John Evans Professor of Nanoengineering in the Department of Mechanical Engineering at Northwestern University, Evanston, IL, USA, and Director of NU s Biologically Inspired Materials Institute from 2002 to He has coauthored over 300 peer-reviewed publications devoted to chemistry, physics, materials science, mechanics, engineering, and biomedical science; cofounded Graphene Energy, Inc.; and is the founder of Graphene Materials, LLC. and Nanode, Inc. Dr. Ruoff is on the editorial board of Composites, Science, and Technology; Carbon; Journal of Nanoengineering and Nanosystems; and is a Managing Editor and Editorial Board Member of NANO. He is a Fellow of the Materials Research Society (MRS), the American Physical Society (APS), and the American Association for the Advancement of Science (AAAS). He was a Distinguished Chair Visiting Professor at Sungkyukwan University s Advanced Institute of NanoTechnology (SAINT) for several years and has been recently named that again. He is a Fellow of the APS; was a Lee Hsun Lecture Award recipient, 2009, Institute of Metal Research, Chinese Academy of Sciences, Shenyang, China; and was listed as the 16th most cited materials scientist of among the top 100 most cited for the decade ( RuoffsPDFs/THE%20Top%20100%20Materials%20Scientists) Proceedings of the IEEE Vol. 101, No. 7, July 2013

Graphene. Tianyu Ye November 30th, 2011

Graphene. Tianyu Ye November 30th, 2011 Graphene Tianyu Ye November 30th, 2011 Outline What is graphene? How to make graphene? (Exfoliation, Epitaxial, CVD) Is it graphene? (Identification methods) Transport properties; Other properties; Applications;

More information

Evolution of graphene growth on Cu and Ni studied by carbon isotope

Evolution of graphene growth on Cu and Ni studied by carbon isotope Evolution of graphene growth on Cu and Ni studied by carbon isotope labeling Xuesong Li a, Weiwei Cai a, Luigi Colombo b*, and Rodney S. Ruoff a* Large-area graphene is a new material with properties that

More information

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc. 9702 Gayton Road, Suite 320, Richmond, VA 23238, USA Phone: +1 (804) 709-6696 info@nitride-crystals.com www.nitride-crystals.com Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals,

More information

Graphene Fundamentals and Emergent Applications

Graphene Fundamentals and Emergent Applications Graphene Fundamentals and Emergent Applications Jamie H. Warner Department of Materials University of Oxford Oxford, UK Franziska Schaffel Department of Materials University of Oxford Oxford, UK Alicja

More information

Surface atoms/molecules of a material act as an interface to its surrounding environment;

Surface atoms/molecules of a material act as an interface to its surrounding environment; 1 Chapter 1 Thesis Overview Surface atoms/molecules of a material act as an interface to its surrounding environment; their properties are often complicated by external adsorbates/species on the surface

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

CVD growth of Graphene. SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014

CVD growth of Graphene. SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014 CVD growth of Graphene SPE ACCE presentation Carter Kittrell James M. Tour group September 9 to 11, 2014 Graphene zigzag armchair History 1500: Pencil-Is it made of lead? 1789: Graphite 1987: The first

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Chapter 2 Synthesis, Structure, and Properties of Graphene and Graphene Oxide

Chapter 2 Synthesis, Structure, and Properties of Graphene and Graphene Oxide Chapter 2 Synthesis, Structure, and Properties of Graphene and Graphene Oxide 2.1 Introduction To develop large-area graphene-based TCFs, one of the foremost challenges is to produce sufficient amounts

More information

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth.

Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 1 Experimental setup for crystal growth. Schematic drawing of the experimental setup for C 8 -BTBT crystal growth. Supplementary Figure 2 AFM study of the C 8 -BTBT crystal growth

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

Supplementary Information for

Supplementary Information for Supplementary Information for Highly Stable, Dual-Gated MoS 2 Transistors Encapsulated by Hexagonal Boron Nitride with Gate-Controllable Contact Resistance and Threshold Voltage Gwan-Hyoung Lee, Xu Cui,

More information

Wafer-scale fabrication of graphene

Wafer-scale fabrication of graphene Wafer-scale fabrication of graphene Sten Vollebregt, MSc Delft University of Technology, Delft Institute of Mircosystems and Nanotechnology Delft University of Technology Challenge the future Delft University

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION doi:.38/nature09979 I. Graphene material growth and transistor fabrication Top-gated graphene RF transistors were fabricated based on chemical vapor deposition (CVD) grown graphene on copper (Cu). Cu foil

More information

Graphene Novel Material for Nanoelectronics

Graphene Novel Material for Nanoelectronics Graphene Novel Material for Nanoelectronics Shintaro Sato Naoki Harada Daiyu Kondo Mari Ohfuchi (Manuscript received May 12, 2009) Graphene is a flat monolayer of carbon atoms with a two-dimensional honeycomb

More information

Special Properties of Au Nanoparticles

Special Properties of Au Nanoparticles Special Properties of Au Nanoparticles Maryam Ebrahimi Chem 7500/750 March 28 th, 2007 1 Outline Introduction The importance of unexpected electronic, geometric, and chemical properties of nanoparticles

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

A. Optimizing the growth conditions of large-scale graphene films

A. Optimizing the growth conditions of large-scale graphene films 1 A. Optimizing the growth conditions of large-scale graphene films Figure S1. Optical microscope images of graphene films transferred on 300 nm SiO 2 /Si substrates. a, Images of the graphene films grown

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition Supporting Information for Wafer Scale Homogeneous Bilayer Graphene Films by Chemical Vapor Deposition Seunghyun Lee, Kyunghoon Lee, Zhaohui Zhong Department of Electrical Engineering and Computer Science,

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Optimizing Graphene Morphology on SiC(0001)

Optimizing Graphene Morphology on SiC(0001) Optimizing Graphene Morphology on SiC(0001) James B. Hannon Rudolf M. Tromp Graphene sheets Graphene sheets can be formed into 0D,1D, 2D, and 3D structures Chemically inert Intrinsically high carrier mobility

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

A new method of growing graphene on Cu by hydrogen etching

A new method of growing graphene on Cu by hydrogen etching A new method of growing graphene on Cu by hydrogen etching Linjie zhan version 6, 2015.05.12--2015.05.24 CVD graphene Hydrogen etching Anisotropic Copper-catalyzed Highly anisotropic hydrogen etching method

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Supplementary Figure 1 Dark-field optical images of as prepared PMMA-assisted transferred CVD graphene films on silicon substrates (a) and the one

Supplementary Figure 1 Dark-field optical images of as prepared PMMA-assisted transferred CVD graphene films on silicon substrates (a) and the one Supplementary Figure 1 Dark-field optical images of as prepared PMMA-assisted transferred CVD graphene films on silicon substrates (a) and the one after PBASE monolayer growth (b). 1 Supplementary Figure

More information

Graphene FETs EE439 FINAL PROJECT. Yiwen Meng Su Ai

Graphene FETs EE439 FINAL PROJECT. Yiwen Meng Su Ai Graphene FETs EE439 FINAL PROJECT Yiwen Meng Su Ai Introduction What is Graphene? An atomic-scale honeycomb lattice made of carbon atoms Before 2004, Hypothetical Carbon Structure Until 2004, physicists

More information

Chemical Vapor Deposition Graphene Grown on Peeled- Off Epitaxial Cu(111) Foil: A Simple Approach to Improved Properties

Chemical Vapor Deposition Graphene Grown on Peeled- Off Epitaxial Cu(111) Foil: A Simple Approach to Improved Properties Supplementary information Chemical Vapor Deposition Graphene Grown on Peeled- Off Epitaxial Cu(111) Foil: A Simple Approach to Improved Properties Hak Ki Yu 1,2, Kannan Balasubramanian 3, Kisoo Kim 4,

More information

Monolayer Semiconductors

Monolayer Semiconductors Monolayer Semiconductors Gilbert Arias California State University San Bernardino University of Washington INT REU, 2013 Advisor: Xiaodong Xu (Dated: August 24, 2013) Abstract Silicon may be unable to

More information

Transient Photocurrent Measurements of Graphene Related Materials

Transient Photocurrent Measurements of Graphene Related Materials Transient Photocurrent Measurements of Graphene Related Materials P. Srinivasa Rao Mentor: Prof. dr. Gvido Bratina Laboratory of Organic Matter Physics University of Nova Gorica 1 Contents: 1. Electrical

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Towards wafer-size graphene layers by atmospheric pressure graphitization of silicon carbide Supporting online material Konstantin V. Emtsev 1, Aaron Bostwick 2, Karsten Horn

More information

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information Molecular Antenna Tailored Organic Thin-film Transistor

More information

Bratislava, Slovak Republic.

Bratislava, Slovak Republic. INTEGRATION OF ATOMIC LAYER DEPOSITED AL 2 O 3 DIELECTRICS WITH GRAPHENE Jana Brndiarová 1, Karol Fröhlich 1, Martin Hulman 1, Alica Rosová 1, Edmund Dobročka 1,Tauno Kahro 2, Jaan Aarik 2 1 Institute

More information

Supplementary Information. for. Controlled Scalable Synthesis of Uniform, High-Quality Monolayer and Fewlayer

Supplementary Information. for. Controlled Scalable Synthesis of Uniform, High-Quality Monolayer and Fewlayer Supplementary Information for Controlled Scalable Synthesis of Uniform, High-Quality Monolayer and Fewlayer MoS 2 Films Yifei Yu 1, Chun Li 1, Yi Liu 3, Liqin Su 4, Yong Zhang 4, Linyou Cao 1,2 * 1 Department

More information

Transparent Electrode Applications

Transparent Electrode Applications Transparent Electrode Applications LCD Solar Cells Touch Screen Indium Tin Oxide (ITO) Zinc Oxide (ZnO) - High conductivity - High transparency - Resistant to environmental effects - Rare material (Indium)

More information

Carbon based Nanoscale Electronics

Carbon based Nanoscale Electronics Carbon based Nanoscale Electronics 09 02 200802 2008 ME class Outline driving force for the carbon nanomaterial electronic properties of fullerene exploration of electronic carbon nanotube gold rush of

More information

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor Supporting Information Direct Growth of Graphene Films on 3D Grating Structural Quartz Substrates for High-performance Pressure-Sensitive Sensor Xuefen Song, a,b Tai Sun b Jun Yang, b Leyong Yu, b Dacheng

More information

Nanocarbon Technology for Development of Innovative Devices

Nanocarbon Technology for Development of Innovative Devices Nanocarbon Technology for Development of Innovative Devices Shintaro Sato Daiyu Kondo Shinichi Hirose Junichi Yamaguchi Graphene, a one-atom-thick honeycomb lattice made of carbon, and a carbon nanotube,

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height

Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height topographies of h-bn film in a size of ~1.5µm 1.5µm, 30µm 30µm

More information

Graphene based FETs. Raghav Gupta ( )

Graphene based FETs. Raghav Gupta ( ) 1 Graphene based FETs Raghav Gupta (10327553) Abstract The extraordinary electronic properties along with excellent optical, mechanical, thermodynamic properties have led to a lot of interest in its possible

More information

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Seoung-Ki Lee, Beom Joon Kim, Houk Jang, Sung Cheol Yoon, Changjin Lee, Byung Hee Hong, John A. Rogers, Jeong Ho Cho, Jong-Hyun

More information

Graphene devices and integration: A primer on challenges

Graphene devices and integration: A primer on challenges Graphene devices and integration: A primer on challenges Archana Venugopal (TI) 8 Nov 2016 Acknowledgments: Luigi Colombo (TI) UT Dallas and UT Austin 1 Outline Where we are Issues o Contact resistance

More information

Hydrogenation of Single Walled Carbon Nanotubes

Hydrogenation of Single Walled Carbon Nanotubes Hydrogenation of Single Walled Carbon Nanotubes Anders Nilsson Stanford Synchrotron Radiation Laboratory (SSRL) and Stockholm University Coworkers and Ackowledgement A. Nikitin 1), H. Ogasawara 1), D.

More information

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

TRANSVERSE SPIN TRANSPORT IN GRAPHENE International Journal of Modern Physics B Vol. 23, Nos. 12 & 13 (2009) 2641 2646 World Scientific Publishing Company TRANSVERSE SPIN TRANSPORT IN GRAPHENE TARIQ M. G. MOHIUDDIN, A. A. ZHUKOV, D. C. ELIAS,

More information

Supplementary Figure 1: MoS2 crystals on WSe2-EG and EG and WSe2 crystals on MoSe2-EG and EG.

Supplementary Figure 1: MoS2 crystals on WSe2-EG and EG and WSe2 crystals on MoSe2-EG and EG. Supplementary Figure 1: MoS2 crystals on WSe2-EG and EG and WSe2 crystals on MoSe2-EG and EG. (a) The MoS2 crystals cover both of EG and WSe2/EG after the CVD growth (Scar bar: 400 nm) (b) shows TEM profiles

More information

Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO2. Supplementary Figure 2: Comparison of hbn yield.

Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO2. Supplementary Figure 2: Comparison of hbn yield. 1 2 3 4 Supplementary Figure 1: Micromechanical cleavage of graphene on oxygen plasma treated Si/SiO 2. Optical microscopy images of three examples of large single layer graphene flakes cleaved on a single

More information

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25) 1 TMT4320 Nanomaterials November 10 th, 2015 Thin films by physical/chemical methods (From chapter 24 and 25) 2 Thin films by physical/chemical methods Vapor-phase growth (compared to liquid-phase growth)

More information

.Fritjaf Capra, The Tao of Physics

.Fritjaf Capra, The Tao of Physics Probing inside the atom and investigating its structure, science transcended the limits of our sensory imagination. From this point on, it could no longer rely with absolute certainty on logic and common

More information

Supplementary Information

Supplementary Information Supplementary Information Chemical and Bandgap Engineering in Monolayer Hexagonal Boron Nitride Kun Ba 1,, Wei Jiang 1,,Jingxin Cheng 2, Jingxian Bao 1, Ningning Xuan 1,Yangye Sun 1, Bing Liu 1, Aozhen

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

XPS Depth Profiling of Epitaxial Graphene Intercalated with FeCl 3

XPS Depth Profiling of Epitaxial Graphene Intercalated with FeCl 3 XPS Depth Profiling of Epitaxial Graphene Intercalated with FeCl 3 Mahdi Ibrahim Maynard H. Jackson High School Atlanta, GA. Faculty Advisor: Dr. Kristin Shepperd Research Group: Prof. Edward Conrad School

More information

Supporting Information. by Hexagonal Boron Nitride

Supporting Information. by Hexagonal Boron Nitride Supporting Information High Velocity Saturation in Graphene Encapsulated by Hexagonal Boron Nitride Megan A. Yamoah 1,2,, Wenmin Yang 1,3, Eric Pop 4,5,6, David Goldhaber-Gordon 1 * 1 Department of Physics,

More information

Supporting Information

Supporting Information Supporting Information Defects and Surface Structural Stability of MoTe 2 Under Vacuum Annealing Hui Zhu, Qingxiao Wang, Lanxia Cheng, Rafik Addou, Jiyoung Kim, Moon J. Kim*, Robert M. Wallace* Department

More information

Carbon Nanotubes in Interconnect Applications

Carbon Nanotubes in Interconnect Applications Carbon Nanotubes in Interconnect Applications Page 1 What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? Comparison of electrical properties

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Spin-Conserving Resonant Tunneling in Twist- Supporting Information

Spin-Conserving Resonant Tunneling in Twist- Supporting Information Spin-Conserving Resonant Tunneling in Twist- Controlled WSe2-hBN-WSe2 Heterostructures Supporting Information Kyounghwan Kim, 1 Nitin Prasad, 1 Hema C. P. Movva, 1 G. William Burg, 1 Yimeng Wang, 1 Stefano

More information

Lecture 1: Vapour Growth Techniques

Lecture 1: Vapour Growth Techniques PH3EC2 Vapour Growth and Epitaxial Growth Lecturer: Dr. Shinoj V K Lecture 1: Vapour Growth Techniques 1.1 Vapour growth The growth of single crystal materials from the vapour phase. Deposition from the

More information

Chemical Vapour Deposition of Large-area High-quality Graphene Films for Electronic Applications

Chemical Vapour Deposition of Large-area High-quality Graphene Films for Electronic Applications Chemical Vapour Deposition of Large-area High-quality Graphene Films for Electronic Applications Kai WANG A thesis submitted to Imperial College London In fulfilment of the requirements for the degree

More information

Overview. Carbon in all its forms. Background & Discovery Fabrication. Important properties. Summary & References. Overview of current research

Overview. Carbon in all its forms. Background & Discovery Fabrication. Important properties. Summary & References. Overview of current research Graphene Prepared for Solid State Physics II Pr Dagotto Spring 2009 Laurene Tetard 03/23/09 Overview Carbon in all its forms Background & Discovery Fabrication Important properties Overview of current

More information

Semiconductor Polymer

Semiconductor Polymer Semiconductor Polymer Organic Semiconductor for Flexible Electronics Introduction: An organic semiconductor is an organic compound that possesses similar properties to inorganic semiconductors with hole

More information

Supporting Data. The University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United

Supporting Data. The University of Texas at Dallas, 800 West Campbell Road, Richardson, Texas 75080, United Supporting Data MoS 2 Functionalization for Ultra-thin Atomic Layer Deposited Dielectrics Angelica Azcatl, 1 Stephen McDonnell, 1 Santosh KC, 1 Xing Peng, 1 Hong Dong, 1 Xiaoye Qin, 1 Rafik Addou, 1 Greg

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/3/10/e1701661/dc1 Supplementary Materials for Defect passivation of transition metal dichalcogenides via a charge transfer van der Waals interface Jun Hong Park,

More information

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image and (b) height profile of GO obtained by spin-coating on silicon wafer, showing a typical thickness of ~1 nm. 1 Supplementary

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

The Pennsylvania State University. The Graduate School. College of Engineering INVESTIGATION OF DIELECTRIC OVERLAYERS AND

The Pennsylvania State University. The Graduate School. College of Engineering INVESTIGATION OF DIELECTRIC OVERLAYERS AND The Pennsylvania State University The Graduate School College of Engineering INVESTIGATION OF DIELECTRIC OVERLAYERS AND DEVICE PROCESSING ON TRANSPORT AND PERFORMANCE OF EPITAXIAL GRAPHENE FIELD EFFECT

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 2015 Supplementary Information Vertical Heterostructures of MoS2 and Graphene Nanoribbons

More information

What are Carbon Nanotubes? What are they good for? Why are we interested in them?

What are Carbon Nanotubes? What are they good for? Why are we interested in them? Growth and Properties of Multiwalled Carbon Nanotubes What are Carbon Nanotubes? What are they good for? Why are we interested in them? - Interconnects of the future? - our vision Where do we stand - our

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Pin-Chun Shen M.S. Photonics and Optoelectronics National Taiwan University, 2014

Pin-Chun Shen M.S. Photonics and Optoelectronics National Taiwan University, 2014 Large-area CVD Growth of Two-dimensional Transition Metal Dichalcogenides and Monolayer MoS 2 and WS 2 Metal oxide semiconductor Field-effect Transistors by Pin-Chun Shen M.S. Photonics and Optoelectronics

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

STM and graphene. W. W. Larry Pai ( 白偉武 ) Center for condensed matter sciences, National Taiwan University NTHU, 2013/05/23

STM and graphene. W. W. Larry Pai ( 白偉武 ) Center for condensed matter sciences, National Taiwan University NTHU, 2013/05/23 STM and graphene W. W. Larry Pai ( 白偉武 ) Center for condensed matter sciences, National Taiwan University NTHU, 2013/05/23 Why graphene is important: It is a new form of material (two dimensional, single

More information

Initial Stages of Growth of Organic Semiconductors on Graphene

Initial Stages of Growth of Organic Semiconductors on Graphene Initial Stages of Growth of Organic Semiconductors on Graphene Presented by: Manisha Chhikara Supervisor: Prof. Dr. Gvido Bratina University of Nova Gorica Outline Introduction to Graphene Fabrication

More information

Surface Defects on Natural MoS 2

Surface Defects on Natural MoS 2 Supporting Information: Surface Defects on Natural MoS 2 Rafik Addou 1*, Luigi Colombo 2, and Robert M. Wallace 1* 1 Department of Materials Science and Engineering, The University of Texas at Dallas,

More information

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples

Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Low Voltage Field Emission SEM (LV FE-SEM): A Promising Imaging Approach for Graphene Samples Jining Xie Agilent Technologies May 23 rd, 2012 www.agilent.com/find/nano Outline 1. Introduction 2. Agilent

More information

crystals were phase-pure as determined by x-ray diffraction. Atomically thin MoS 2 flakes were

crystals were phase-pure as determined by x-ray diffraction. Atomically thin MoS 2 flakes were Nano Letters (214) Supplementary Information for High Mobility WSe 2 p- and n-type Field Effect Transistors Contacted by Highly Doped Graphene for Low-Resistance Contacts Hsun-Jen Chuang, Xuebin Tan, Nirmal

More information

Luigi Colombo. Texas Instruments Dallas, TX, USA. Jozef Stefan Institute Ljubljana, Slovenia April 9, 2013

Luigi Colombo. Texas Instruments Dallas, TX, USA. Jozef Stefan Institute Ljubljana, Slovenia April 9, 2013 Luigi Colombo Texas Instruments Dallas, TX, USA Jozef Stefan Institute Ljubljana, Slovenia April 9, 2013 UT Austin Ruoff group UT Austin - Banerjee group UT Austin - Tutuc group UT Dallas - Wallace group

More information

Supporting Information

Supporting Information Supporting Information Repeated Growth Etching Regrowth for Large-Area Defect-Free Single-Crystal Graphene by Chemical Vapor Deposition Teng Ma, 1 Wencai Ren, 1 * Zhibo Liu, 1 Le Huang, 2 Lai-Peng Ma,

More information

Frictional characteristics of exfoliated and epitaxial graphene

Frictional characteristics of exfoliated and epitaxial graphene Frictional characteristics of exfoliated and epitaxial graphene Young Jun Shin a,b, Ryan Stromberg c, Rick Nay c, Han Huang d, Andrew T. S. Wee d, Hyunsoo Yang a,b,*, Charanjit S. Bhatia a a Department

More information

Graphene synthesis and characterization on copper

Graphene synthesis and characterization on copper University of Iowa Iowa Research Online Theses and Dissertations Summer 2012 Graphene synthesis and characterization on copper Ali Mohsin University of Iowa Copyright 2012 Ali Mohsin This thesis is available

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Stretching the Barriers An analysis of MOSFET Scaling Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa Why Small? Higher Current Lower Gate Capacitance Higher

More information

Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection

Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection Supplementary material for High responsivity mid-infrared graphene detectors with antenna-enhanced photo-carrier generation and collection Yu Yao 1, Raji Shankar 1, Patrick Rauter 1, Yi Song 2, Jing Kong

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES 148 A p p e n d i x D SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES D.1 Overview The supplementary information contains additional information on our computational approach

More information

2D Materials for Gas Sensing

2D Materials for Gas Sensing 2D Materials for Gas Sensing S. Guo, A. Rani, and M.E. Zaghloul Department of Electrical and Computer Engineering The George Washington University, Washington DC 20052 Outline Background Structures of

More information

SiC Graphene Suitable For Quantum Hall Resistance Metrology.

SiC Graphene Suitable For Quantum Hall Resistance Metrology. SiC Graphene Suitable For Quantum Hall Resistance Metrology. Samuel Lara-Avila 1, Alexei Kalaboukhov 1, Sara Paolillo, Mikael Syväjärvi 3, Rositza Yakimova 3, Vladimir Fal'ko 4, Alexander Tzalenchuk 5,

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1 Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1 ChiiDong Chen Institute of Physics, Academia Sinica chiidong@phys.sinica.edu.tw 02 27896766 Section 5.2.1 Nature of the Carbon Bond

More information

Exceptional ballistic transport in epigraphene. Walt de Heer Georgia Institute of Technology

Exceptional ballistic transport in epigraphene. Walt de Heer Georgia Institute of Technology Exceptional ballistic transport in epigraphene Walt de Heer Georgia Institute of Technology Program Objective First formulated in 2001 and patented in 2003, our objective is to develop nanoelectronics

More information

Growing Graphene on Semiconductors

Growing Graphene on Semiconductors Growing Graphene on Semiconductors edited by Nunzio Motta Francesca Iacopi Camilla Coletti Growing Graphene on Semiconductors Growing Graphene on Semiconductors edited by Nunzio Motta Francesca Iacopi

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information