Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl 2 : A Multiple Real- Time Sensors Study

Size: px
Start display at page:

Download "Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl 2 : A Multiple Real- Time Sensors Study"

Transcription

1 Chamber Wall Effects on Polycrystalline-Si Reactive Ion Etching in Cl : A Multiple Real- Time Sensors Study Fred L. Terry, Jr. Dept of EECS University of Michigan (fax) fredty@umich.edu 1

2 Acknowledgements Dr. Pete Klimecky (now with Intel) Dr. Craig Garvin (now with Inficon) Prof. Jessy Grizzle (UofM) Dr. Jay Jefferies (Stanford)

3 Outline Multi-sensor Study of Cl Etching of Poly-Si in Lam 9400 TCP / Variations with F-cleans OES/Actinometry for Cl Broadband RF for Plasma Density RTSE for Poly Si Etch Rate Wall Recombination Affects Both Neutral Species and Ion Concentrations Ion Density Measurement Control of Cl etch of Si Interpretation of Actinometry Results Requires Careful Consideration of Gas Dilution Effects on Actinometer Concentration HBr-Cl Mixtures 3

4 Motivation Chamber wall state as source of transient variations Loss rates at walls dependent on wall buildup Wall condition dynamically alters chemical and plasma densities Solutions for process drift: PMs, additional clean steps, test wafers gas inlet wall buildup losses TCP coil upper electrode Plasma generation (energetic e - ) ion transport & losses lower electrode losses Control of plasma density will improve process tolerance limits & OEE! 4

5 Previous Wall State Work Sawin: 1 st reported Etch Rate changes in Cl due to O ( ) & CF 4 ( ) chamber exposure. (JECS 199) Donnelly: Increasing Cl neutral conc. with time in a quartz tube helical resonator. (JVSTA 1996) Aydil: Atomic Cl drifts due to SiO wall conditioning & SF 6 wall cleans. (JVSTA 00) 5

6 This Work 1 st experimental evidence of Cl plasma density variation with F-cleans/wall prep. 1 st direct correlation of real-time plasma density & real-time etch rate variations 1 st direct real-time feedback control of plasma density to stabilize poly-si etch rate in Cl Improved Understanding of Wall Effects and Actinometry Results 6

7 Time Stamped Sensor System Actuator Signals Tool Signals Digital I/O Plasma Tool Outputs EMACS Real-Time Data Acq. & Control Computer Digital I/O Outputs Relay/Routing Box EMACS I/O Hardware Inputs Inputs EMACS Real-Time System GPIB TCP/IP Lock-ins Broad band Detector OEM Tool Controller LAM 9400SE TCP External Sensors (OES, lasers, etc.) 1.79µ m diode laser w/ alignment laser CCD RTSE for real time ER laser Sensor Computers Real-Time Monitors a) RTSE wafer state b) BroadBand RF plasma state c) FTIR exhaust chem; SiCl 4, SiF 4 d) Diode Laser Absorption chem state e) OES [F], [Cl] intensity in chamber 7

8 RTSE Real-Time Spectroscopic Ellipsometer (RTSE) Can optically model film etch depth, CD, sidewall slope Use for real-time etch rate monitoring & transients 8

9 BroadBand RF Antenna Quartz Tube Wafer 4 " Process Chamber Wall Network Analyzer Remarks High frequency (GHz), low power (mw) sweep of plasma Plasma impedance spectroscopy Must analyze broad spectrum of data (Broadband RF Probe) Yields plasma density metric 9

10 BroadBand RF Circuit Analogy Antenna TCP Coil Quartz Tube 4 " Wafer Network Analyzer Process Chamber Wall BB probe Z A Z p C coil ε(ω) Z p3 Z p1 C w Loss paths give many resonance peaks in Γ for single ω p Model peaks as RLC circuit resonances w/ ω 1 LC ni = L p (ω) C chuck Z p Elec. Stat. Chuck R p (ω) Wall C 10

11 BroadBand Signature Reflect Coeff. Condition 1 Condition Electron Density Frequency Signal sensitive to several important plasma outputs Plasma density Delivered plasma power Chamber wall state Wafer surface chemistry 11

12 BB Peak Shifts & Density 10 0 Seasoning etch rate recovery: BB response run1 run run3 run4 run5 LOG Γ 10 1 ω n ω n frequency in GHz Two prominent resonance modes, ω n1 & ω n, for these chamber conditions Peak frequencies shift right for increasing density 1

13 FTIR Effluent Measurements Moving Mirror Computer Beamsplitter Sample Stationary Mirror Detector Interferometer Source Fourier Transform InfraRed (FTIR) spectroscopy measures volatile etch products in foreline exhaust Yields dynamic chemical state changes in SiCl 4 & SiF 4 Used commercial INDUCT tm FTIR from On-line Tech. 13

14 Etch Conditions Lam 9400 TCP SE 10 mtorr 100 sccm Cl flow 100 sccm total etch gas flow for Cl /HBr experiments 5 sccm flow 50 W TCP Power Varied for Plasma Density Control (Closed Loop) Runs 100 W Bias Power Bias Voltage Measurement Not Available Unpatterned 150 mm Poly-Si/30nm SiO /Si Test Wafers 14

15 Experimental Definition 1 First project; 3 experiments Compensate for ion density losses due to F- cleaning of chamber walls 1) Nominal Etch: Run plasma chamber at steady state chlorine condition to establish real-time etch rate, BB peak position, and SiCl 4 effluent level ) Open loop recovery: Prep chamber walls using C F 6 clean to strip Silicon Oxychloride buildup, then run identical Cl recipe. 3) Closed loop compensation: Run identically as uncontrolled open loop etch, only now use TCP power to maintain BroadBand setpoint. 15

16 (OL) Open Loop Drift Recovery rate (nm/s) Cl etch from F prep chamber walls nominal open loop ω n (GHz) time (s) Nominal etch rate flat, OL rate increasing (upper plot) Nominal BroadBand ω n flat, OL ω n increasing (lower) OL signals do not recover in 60sec 16

17 (CL) Closed Loop Recovery rate (nm/s) Cl etch from F prep chamber walls nominal closed loop ω n (GHz) time (s) Both nominal & CL etch rate flat (upper plot) Both nominal & CL BroadBand ω n flat (lower plot) CL signals recover in ~5sec 17

18 SiCl 4 Effluent from FTIR 5000 SiCl 4 etch product from FTIR 4000 SiCl 4 (unscaled) nominal closed loop open loop time (s) Nominal SiCl 4 is flat with no disturbance (black) OL SiCl 4 effluent is suppressed = lower ER (green) CL SiCl 4 is mostly compensated by controller (blue) 18

19 TCP Power OL vs. CL Cl etch from F prep chamber walls TCP Pwr (W) open loop closed loop time (s) TCP power compensation in CL is very high at the start to make up for lost Cl + ions to the walls 19

20 Experimental Definition Second project; experiments, OL vs. CL 1 st wafer effect elimination with plasma density compensation Prep chamber walls using C F 6 clean Follow with 3 open loop etches for 30s each in Cl and measure etch depth Prep chamber with C F 6 clean again Follow with 3 closed loop etches for 30s each and compare etch depth variation with that in OL case 0

21 1 st Wafer Effect Reduction Three 30s Cl etches after single F-prep of chamber 1st wafer effect, open loop SP max-min: 156. Å, RTSE max-min: Å 1st wafer effect reduced, closed loop SP max-min: 49. Å, RTSE max-min: 56.7 Å etched material (Å) SP RTSE etched material (Å) SP RTSE run # run # Open loop etch depth Etch rate increases, both in situ (RTSE) & ex situ (Reflectometer) Etch depth variation ~150Å Closed loop etch depth with density correction Etch depth variation reduced to ~50Å 1

22 TCP Compensation RR sequential closed loop control action TCP power (W) (nominal) run1 run run time (s) Closed loop TCP power compensation reduces with each successive run as chamber begins to season

23 Summary 1 st evidence of real-time Poly-Si etch rate variation in Cl due to F-exposure. 1 st demonstration of ion density control in Cl to compensate for Poly-Si real-time etch rate transients. Effluent SiCl 4 chemistry verifies both real-time performance drifts and feedback correction. Significant 1 st wafer effect reduction after chamber cleans with density feedback control. Question: How Do We Explain the Results of Earlier Researchers? Actinometry Results & Interpretations Key Point Is That Even For Qualitative Conclusions, Actinometry/OES Results Must Be Carefully Analyzed Considering All Gasses Present In Chamber 3

24 Intensity Ratio I Cl /I λ : 750.4nm λ Cl : 8.nm I Cl /I Nominal I /I Nominal Cl I /I OL Cl I /I CL Cl After F-disturbance, both controlled & uncontrolled cases show similar Clneutral suppression and recovery. Simple Conclusion is that Ions (not neutrals) control etch rate for this process. time(s) 4

25 Cl Intensity I Cl Nominal I Cl Nominal I Cl Open Loop I Cl Closed Loop Cl Intensity is Flat in Nominal/Seasoned-wall case & varies in Open Loop and Closed Loop Cases time(s) 5

26 Intensity 5 I Nominal I Nominal I Open Loop I Closed Loop Intensity of Being Nearly Flat Was Previously Taken By Some Researchers To Show that the Plasma Density Was Constant This led to the conclusion that neutral Cl loss was responsible for Si etch rate variations We have shown that neither of these conclusions can be correct time(s) 6

27 OES Setup Equations d = Cl dissociation fraction f = mole fraction of in feed gas (5%) Mass balance: Cl dcl + (1-d)Cl Raw optical intensity signals: (n e ω n ) I = K ( T ) ω n e n I = K ( T ) ω n Cl Cl e n Cl coupled simply by d, f Intensity ratio: I Cl K Cl 1 f = d I K f ncl f = d ' αcl f if d 7

28 Detailed Look at Dissociation Diluation Effect on Cl dcl 1 d Cl Chlorine Dissociation + ( ) input gas mixture gascompositionin plasma Now including the actinometer concentration ( ) + ( 1 ) ( ) + ( 1 ) + ( 1 )( 1 ) f f Cl f d f Cl d f Cl input gas mixture gascompositionin plasma The concentration of is diluted by Cl dissociation So in the plasma, assuming all molecules, atoms, ions at the same temperature: f f n = ntot = n f + d( 1 f ) + ( 1 d)( 1 f ) 1+ d( 1 f ) d( 1 f ) d( 1 f ) n = n = n f + d( 1 f ) + ( 1 d)( 1 f ) 1+ d( 1 f ) Thus n d( 1 f ) ( 1 f Cl ) = = d n f f Cl tot tot tot 8

29 OES Fits Clean Chamber / High Recombination Case Yields Actinometry Data with Enough Structure to Extract α Cl & K by Nonlinear Regression Dissociation Fractions for Other Runs Estimated by Assuming α Cl is the same as the Clean Chamber Result Possible T e variations Errors Possible Window Variations 9

30 f I = K ( T ) ω n = Kω n e n 1 n tot 1+ d( 1 f ) Fitting of OES Data Fitting constants allows quantitative extraction of d from OES data ( f ) ( f ) d 1 I = K ( T ) ω n = K ω n Cl Cl e n Cl n tot 1+ d 1 I Cl K Cl 1 f 1 K f I Cl = d d = I K f K 1 f I Cl meas α cl I = Kntotω f f f n = Kntotω n = K ω n 1 f I Cl 1 I Cl 1 I Cl 1+ αcl ( 1 f ) 1 αcl f 1 αcl f 1 f I + + I I meas meas meas I = K ( T ) ω n = K n ω Cl e n Cl Cl tot n I I I α f α f f Cl Cl Cl cl cl I I meas I meas meas = K Cl ω n = K ω n 1 I Cl 1 I Cl 1 I Cl 1+ αcl f 1 αcl f 1 αcl f I + I + I meas meas meas 30

31 OES Signal & Fit: SiCl 4 Ignored 3.5 WNpoly11c_ol.txt Fitted Signal K' = / α' Cl = / (95.4% confidence limits) 3.5 Intensity (arbitrary units) Measured I Fitted I I -I,fit Time (s) 31

32 Cl OES Signal & Fit: SiCl 4 Ignored 4.5 WNpoly11c_ol.txt Fitted Cl Signal K' = / α' Cl = / (95.4% confidence limits) Measured I Cl Fitted I Cl I Cl -I Cl,f it Cl Intensity (arbitrary units) Time (s) 3

33 Cl Net Dissociation: SiCl 4 Ignored 0.75 WNpoly11c_ol.txt Dissociation Fraction d and n Cl /n g K' = / α' Cl = / (95.4% confidence limits) Fraction out of dissociation fraction (d) n cl /n g Time (s) 33

34 Fraction: SiCl 4 Ignored Precentage 3 n /n g Scaled ω (ne BB ) Minimum Possible Precentage Time (s) I (t) ~const. due to opposing effects of dilution ( ) & ne ( ) 34

35 Dissociation Fractions: SiCl 4 Ignored Dissociation Fractions WNpoly11c_ol.txt WNpoly10c_CL.txt WNpolygold_nom.txt Dissociation Fraction time (s) 35

36 Intensity Ratio I Cl /I λ : 750.4nm λ Cl : 8.nm I Cl /I Nominal I /I Nominal Cl I /I OL Cl I /I CL Cl Why is feedback controlled I Cl /I still low? Our Next AVS Paper : GENERATION of Cl Is Increased but COMSUMPTION by Si Etching & Dilution by SiCl 4 Offset Generation time(s) 36

37 Key Reactions Cl Cl Dissociation Cl Cl Recombination (wall & bulk gas phase) + Cl + e Cl + e Ionization & Bulk Deionization Si + 4Cl SiCl Etch 4 SiCl4 + SiO SiOxCly + Cl + Cl Deposition Reactions (unbalanced) SiCl4 + AlO3 AlvSizOxCly + Cl + Cl 37

38 molecules in Simplified Reaction Set Assuming Cl ionization and Si-species deposition Reactions have small effects on gas species concentrations, the other remaining reactions yield: FCl Cl + F + FSiSi xcl+ ycl + FSiSiCl4 + f ( ) Cl { Si } chamber gas phase molecules 1 x+ y+ FSi = FCl for Cl mass balance y = 1 d F where d = Net Dissociation Fraction of Cl F Si So = x= dfcl 4F atoms/s consumed by etching known from measured etch rate & flows Si 38

39 Result of Simplified Reaction Set n x+ y+ F + F g Si ( ) ( ) n df 4F + 1 d F + F + F g Cl Si Cl Si n 1+ d F + F 3F g Cl Si x df 4F Cl Si Cl g g n = n = n x+ y+ FSi + F ( 1+ d) FCl + F 3 FSi F F n = n = n x+ y+ F + F ( 1+ d) F + F 3F g g Si Cl Si I = K n n I = K Cl Cl Cl e Measured Actinometry Ratio: I 1 Cl 4 Cl KClSClnCln df F e Si Am = = ' I KSnne αcl F m n n e Cl Actinometry Signal Suppressed by Etch/Loading 39

40 PV = n RT n = g g g PV RT g Assume Tg is constant & n e=cωbbwhere C is a portionality constant fixed during the etch run. I Cl = Pω BB ' ' KClαCl Am F 1 F + 1+ α A F F ' Cl Cl m Si K ' & α are the only unknowns ' Cl They can be extracted if there is sufficient variation in I ( t) & I ( t) Cl = Pω BB ' K Am F 1 F + 1+ α A F F ' Cl Cl m Si I = Pω BB ' KF 1 F + 1+ α A F F ' Cl Cl m Si 40

41 OES Intensity & Fit: SiCl 4 Included from RTSE 3.5 WNpoly11c_ol.txt Fitted Signal K' = / α' Cl = / (95.4% confidence limits) 3.5 Intensity (arbitrary units) Measured I Fitted I I -I,fit Time (s) 41

42 Cl OES Intensity & Fit: SiCl 4 Included from RTSE 4.5 WNpoly11c_ol.txt Fitted Cl Signal K' = / α' Cl = / (95.4% confidence limits) Measured I Cl Fitted I Cl 3 I Cl -I Cl,fit Cl Intensity (arbitrary units) Time (s) 4

43 Fraction : SiCl 4 Included from RTSE Precentage 3 n /n g Scaled ω BB (ne ) Minimum Possible Precentage Time (s) 43

44 Dissociation Fraction : SiCl 4 Included from RTSE 0.9 WNpoly11c_ol.txt Dissociation Fraction d and n Cl /n g K' = / α' Cl = / (95.4% confidence limits) dissociation fraction (d) n cl /n g Fraction out of Time (s) 44

45 Dissociation Fractions: SiCl 4 Included from RTSE Dissociation Fraction Dissociation Fractions WNpoly11c_ol.txt WNpoly10c_CL.txt WNpolygold_nom.txt time (s) Net Dissociation Fraction (d) Is Increased by Higher TCP Power in Closed Loop Run Net d is higher than estimated from procedure ignoring SiCl 4 Wall Recombination Still Suppresses Cl, d 45

46 T e (EEDF) Issue 6 With some assumptions which we believe are justified: b Units Intensity Cl WNpoly 10c Closed Loop ω 4 /1.57 WNpoly10c Closed Loop BB Intensity Cl WNpoly11c ω 4 /1.388 WNpoly11c Open Loop BB Time 4 ω n = I Cl ftonly ( ) T e for open loop case appears ~constant T e is increased initially for closed loop case (constant α Cl assumption may not be accurate) e 46

47 Wall-State Effects Model n Cl reduced due to recombination on F-cleaned walls. n Cl+ reduced due to lower availability of n Cl precursor. ER decreases due to lower ion bombardment. Real-time feedback control corrects for n e n Cl+ losses by increasing T e, but does not fully recover n Cl. Model supports ion dominated etch of Si w/ Cl ; n Cl+ ER n Cl. High n Cl keeps surface Cl-saturated. ion bombardment is rate limiting step. Extracted d varies significantly, causing constant I. 47

48 HBr/Cl Etches HCl Is Formed In Mixing Manifold By HBr/Cl Reaction Collaboration With Stanford Group Shows Similar Plasma/Gas Chemistry Trends To Cl Only Cases HCl absolute concentration was measured by laser diode absorption HCl Dissociation follows BB-RF/plasma density trends Chamber cleaning suppresses dissociation of HCl & increases plasma density variation Open Loop Etch Rates Become More Constant With Increasing HBr & Show Less Sensitivity to Chamber Wall Condition Closed Loop Plasma Density Control Causes More Time Variation In Etch Rate for High HBr Concentration Cases HBr/Cl Etch Rates e Not Directly Ion Limited & Future Work is Needed Wafer Surface Temperature? 48

49 HBr/Cl Etch (80/0) Open Loop Closed Loop ER (nm/s) ER (nm/s) 5 wnpoly1c 5 wnpoly19c 4 4 ER (nm/s) 3 ER (nm/s) time (s) time (s) 49

50 Future Work Modeling of BB Signals to extract more from the shape of the data collision parameters Possible T e /EEDF Information Improved antenna designs for BB System Lower-cost electronics for BB reflectometry Apply density control to topography & profile variations. Expand to other ion-dominated etches besides Cl etching of Poly-Si. Larger scale, multi-wafer tests to verify control improvements. Ion density control most effective when etch is ion dominated. Chemically dominated etches do not show same effects. Combine ion density control with ion energy control. 50

51 Funding Acknowledgements Initial Work Funded by SRC Center of Excellence for Automated Semiconductor Manufacturing Project Ended August, 1999 Research funded in part by: AFOSR/DARPA MURI Center for Intelligent Electronics Manufacturing (AFOSR F ) Projected Ended August, 001 Research funded in part by: NIST Intelligent Control of the Semiconductor Patterning Process (70NANB8H4067) Project Ended June, 00 51

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Technology Improvement and Fault Detection @ TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber Russell Benson, Micron Daniel Steckert, Micron Lutz Eichhorn, Plasmetrex Michael Klick, Plasmetrex

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process

In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process In-Situ FTIR Spectroscopy and Metrology of a Tungsten CVD Process A. Singhal, L. Henn-Lecordier and J. N. Kidder Jr. University of Maryland, College Park, MD C.A. Gogol, J.F. Kushneir Inficon, Inc. East

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy) *Kye Hyun Baek, Gopyo Lee, Yong Woo Lee, Gyung-Jin Min, Changjin Kang, Han-Ku

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* PHYSICS OF PLASMAS VOLUME 6, NUMBER 5 MAY 1999 The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas* M. L. Brake, J. Pender, a) and

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions

Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions Plasma-Surface Interactions, Erosion, and Impact on Plasma Distribution Functions N. Fox-Lyon, G.S. Oehrlein Department of Material Science and Engineering, Department of Physics, and Institute for Research

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING Future ICs will use more 3D device structures such as finfets and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Estimation of etch rate and uniformity with plasma impedance monitoring. Daniel Tsunami

Estimation of etch rate and uniformity with plasma impedance monitoring. Daniel Tsunami Estimation of etch rate and uniformity with plasma impedance monitoring Daniel Tsunami IC Design and Test Laboratory Electrical & Computer Engineering Portland State University dtsunami@lisl.com 1 Introduction

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas

Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Effects of Ar and O 2 additives on SiO 2 etching in C 4 F 8 -based plasmas Xi Li, a) Li Ling, Xuefeng Hua, Masanaga Fukasawa, b) and Gottlieb S. Oehrlein c) Department of Materials Science and Engineering

More information

A Hybrid Analysis of Ellipsometry Data from Patterned Structures

A Hybrid Analysis of Ellipsometry Data from Patterned Structures A Hybrid Analysis of Ellipsometry Data from Patterned Structures Wei Kong, Hsu-ting Huang, and Fred L. Terry, Jr. Department of Electrical Engineering and Computer Science, University of Michigan, Ann

More information

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher Appl. Sci. Converg. Technol. 26(6): 189-194 (2017) http://dx.doi.org/10.5757/asct.2017.26.6.189 Research Paper Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in

More information

Investigations on two different plasma tools by SEERS, OES and electrical measurements

Investigations on two different plasma tools by SEERS, OES and electrical measurements Investigations on two different plasma tools by SEERS, OES and electrical measurements Jan Konrad Bauer TU Dresden Institut für Halbleiter- und Mikrosystemtechnik Page 1 Das diesem Bericht zugrundeliegende

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 5 1 SEPTEMBER 2000 Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities M. V. Malyshev, a) N. C. M. Fuller, b) K. H. A.

More information

Instrumentation and Operation

Instrumentation and Operation Instrumentation and Operation 1 STM Instrumentation COMPONENTS sharp metal tip scanning system and control electronics feedback electronics (keeps tunneling current constant) image processing system data

More information

Chapter 6. Summary and Conclusions

Chapter 6. Summary and Conclusions Chapter 6 Summary and Conclusions Plasma deposited amorphous hydrogenated carbon films (a-c:h) still attract a lot of interest due to their extraordinary properties. Depending on the deposition conditions

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

Plasma Etch Tool Gap Distance DOE Final Report

Plasma Etch Tool Gap Distance DOE Final Report Plasma Etch Tool Gap Distance DOE Final Report IEE 572 Doug Purvis Mei Lee Gallagher 12/4/00 Page 1 of 10 Protocol Purpose: To establish new Power, Pressure, and Gas Ratio setpoints that are acceptable

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

The chemistry of a CCl 2 F 2 radio frequency discharge

The chemistry of a CCl 2 F 2 radio frequency discharge The chemistry of a CCl 2 F 2 radio frequency discharge W. W. Stoffels, E. Stoffels, M. Haverlag, G. M. W. Kroesen, and F. J. de Hoog Department of Physics, Eindhoven University of Technology, 5600 MB Eindhoven,

More information

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma

Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Journal of the Korean Physical Society, Vol. 38, No. 3, March 001, pp. 59 63 Characterization of an Oxygen Plasma by Using a Langmuir Probe in an Inductively Coupled Plasma Jong-Sik Kim and Gon-Ho Kim

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

pss A multi-sensor study of Cl 2 etching of polycrystalline Si solidi status physica Pete I. Klimecky and Fred L. Terry, Jr. *

pss A multi-sensor study of Cl 2 etching of polycrystalline Si solidi status physica Pete I. Klimecky and Fred L. Terry, Jr. * phys. stat. sol. () 5, No. 5, 5 (8) / DOI./pss.77787 A multi-sensor study of Cl ething of polyrystalline Si physia pss www.pss-.om urrent topis in solid state physis Pete I. Klimeky and Fred L. Terry,

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp Chapter 8 Summary and outlook In the present work reactive plasmas have been investigated by comparing experimentally obtained densities with the results from a simple chemical model. The studies have

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 EE143 Fall 2016 Microfabrication Technologies Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Vacuum Basics Units 1 atmosphere

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 11: Bulk

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

Patterning Challenges and Opportunities: Etch and Film

Patterning Challenges and Opportunities: Etch and Film Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016,

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Diagnósticos em Plasmas

Diagnósticos em Plasmas Tecnologia a Plasma para o Processamento de Materiais Diagnósticos em Plasmas Diagnósticos Ópticos João Santos Sousa, nº50901 Semestre Inverno 2004/2005 21 de Janeiro de 2005, 9h-10h, sala F8 Contents

More information

Chemical Vapor Deposition (CVD)

Chemical Vapor Deposition (CVD) Chemical Vapor Deposition (CVD) source chemical reaction film substrate More conformal deposition vs. PVD t Shown here is 100% conformal deposition ( higher temp has higher surface diffusion) t step 1

More information

Exploration COMSOL in Modeling RLSA TM CVD Processes

Exploration COMSOL in Modeling RLSA TM CVD Processes Exploration COMSOL in Modeling RLSA TM CVD Processes Ar+H 2 +SiH 4 +C 2 H 6 and Dopant Gas Jozef Brcka 1 *, Sundar Gandhi 2, Raymond Joe 2 1 Tokyo Electron U.S. Holdings, Inc., U.S. Technology Development

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe International Training Program Queen s University Belfast Dept. Energy Sciences Tokyo Institute of Technology

More information

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy

In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy In-situ Multilayer Film Growth Characterization by Brewster Angle Reflectance Differential Spectroscopy N. Dietz, D.J. Stephens, G. Lucovsky and K.J. Bachmann North Carolina State University, Raleigh,

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

Dissociative Excitation of H2 in an RF Plasma

Dissociative Excitation of H2 in an RF Plasma Macalester Journal of Physics and Astronomy Volume 4 Issue 1 Spring 2016 Article 2 May 2016 Dissociative Excitation of H2 in an RF Plasma John Carlson Macalester College, jcarls10@macalester.edu Abstract

More information

Sensors and Metrology. Outline

Sensors and Metrology. Outline Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

PLASMA processing (etching, deposition, cleaning) is one

PLASMA processing (etching, deposition, cleaning) is one 486 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 3, AUGUST 1998 Virtual Plasma Equipment Model: A Tool for Investigating Feedback Control in Plasma Processing Equipment Shahid Rauf, Member,

More information

Chapter-4 Stimulated emission devices LASERS

Chapter-4 Stimulated emission devices LASERS Semiconductor Laser Diodes Chapter-4 Stimulated emission devices LASERS The Road Ahead Lasers Basic Principles Applications Gas Lasers Semiconductor Lasers Semiconductor Lasers in Optical Networks Improvement

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function

Plasma-Surface Interactions and Impact on Electron Energy Distribution Function Plasma-Surface Interactions and Impact on Electron Energy Distribution Function N. Fox-Lyon(a), N. Ning(b), D.B. Graves(b), V. Godyak(c) and G.S. Oehrlein(a) (a) University of Maryland, College Park (b)

More information

Diagnostics for plasma processing (etching plasmas) (invited)

Diagnostics for plasma processing (etching plasmas) (invited) Diagnostics for plasma processing (etching plasmas) (invited) Noah Hershkowitz and Robert A. Breun Engineering Research Center for Plasma Aided Manufacturing, University of Wisconsin Madison, Madison,

More information

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle Lecture 10 Vacuum Technology and Plasmas Reading: Chapter 10 Vacuum Science and Plasmas In order to understand deposition techniques such as evaporation, sputtering,, plasma processing, chemical vapor

More information

J. P. Booth Laboratoire de Physique des Plasmas (LPP), CNRS-Ecole Polytechnique, Route de Saclay, Palaiseau 91128, France

J. P. Booth Laboratoire de Physique des Plasmas (LPP), CNRS-Ecole Polytechnique, Route de Saclay, Palaiseau 91128, France Surface loss rates of and Cl radicals in an inductively coupled plasma etcher derived from time-resolved electron density and optical emission measurements G. A. Curley, L. Gatilova, S. Guilet, and S.

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005) Abstract Engineering Systems follow recognized trends of evolution; the main parameters

More information

Plasma Diagnostics Introduction to Langmuir Probes

Plasma Diagnostics Introduction to Langmuir Probes Plasma Diagnostics Technical Information Sheet 531 Plasma Diagnostics Introduction to Langmuir Probes Introduction A Langmuir Probe is a powerful plasma diagnostic tool which capable of determining the

More information

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey Section 5: Thin Film Deposition part 1 : sputtering and evaporation Jaeger Chapter 6 Vacuum Basics 1. Units 1 atmosphere = 760 torr = 1.013x10 5 Pa 1 bar = 10 5 Pa = 750 torr 1 torr = 1 mm Hg 1 mtorr =

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

ELECTRON-cyclotron-resonance (ECR) plasma reactors

ELECTRON-cyclotron-resonance (ECR) plasma reactors 154 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 10, NO. 1, FEBRUARY 1997 Plasma-Parameter Dependence of Thin-Oxide Damage from Wafer Charging During Electron-Cyclotron-Resonance Plasma Processing

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

TX 78741, 2 Tokyo Electron *Corresponding author: Tokyo Electron U.S. Holdings, Inc., 2400 Grove Blvd., Austin, TX 78741,

TX 78741, 2 Tokyo Electron *Corresponding author: Tokyo Electron U.S. Holdings, Inc., 2400 Grove Blvd., Austin, TX 78741, Plasma Scaling Leads Transition from 2D to true 3D Models J. Brcka *1 1 Tokyo Electron U.S. Holdings, Inc., U.S. Technology Development Center, 2400 Grove Blvd., Austin, TX 78741, 2 Tokyo Electron *Corresponding

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information