Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Size: px
Start display at page:

Download "Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC"

Transcription

1 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee (UCB), and John Hoang (UCLA) Workshop & Review September 13, /13/ Plasma

2 09/13/ Plasma 2 Dual/Triple Frequency Capacitive and Inductively Coupled Discharges for Etch Coordinated research involving three PI s Michael A. Lieberman (UCB) - Theory and kinetic (PIC-MCC) simulations David Graves (UCB) - Chemistry, plasma and neutral transport, and transient effects - Fluid simulations (FEMLAB) and molecular dynamics simulations of plasma-surface interactions Jane P. Chang (UCLA) - Profile evolution in Si, SiO 2, porous dielectrics, high-k dielectrics - Feature scale simulations (DSMC) and experiments (SEM)

3 3 Relationships Among the Plasma Projects Lieberman (Theory, PIC-MCC) Electron energy deposition Graves (Fluid and MD) Reactor-scale models Surface-scale simulations Ion energy distribution Ion and neutral fluxes Chang (DSMC) Feature-scale experiments Plasma-surface interactions: molecular dynamics 09/13/ Plasma Feature level profile evolution and control

4 4 Plasma Sources for Feature Level Compensation and Control Workshop & Review September 13, 2006 David B. Graves, Chengche Hsu, Insook Lee, and Joe Vegh UC Berkeley 09/13/ Plasma

5 5 Summary of Research (Graves) Develop 2-D reactor-scale fluid models of multiple frequency capacitive and inductively coupled discharge tools for etch and deposition Focus on development of comprehensive, computationally efficient models that can be coupled to profile simulations (Chang), using kinetic simulation information (Lieberman) and that predict tool/feature uniformity 09/13/ Plasma

6 6 One Dimensional Dual Frequency Fluid Model Results* Argon, p = 50 mtorr, 800 V 27 MHz,, 800 V 2 MHz applied at left electrode 27 MHz 2 MHz 0.02 m * Mark Nierode; ( student; graduated 5-05) 09/13/ Plasma

7 7 Currents at Powered Electrode 09/13/ Plasma

8 8 Neutral Flow Configuration Commercial tools typically feature dual flow configurations to allow for greater process control (e.g. balance fluorocarbon deposition and etching) Investigate the transport of the tuning gas and its effect on reactor chemistry Pressure ~ 30 mtorr 400/20/9 sccm Ar/c-C 4 F 8 /O sccm O 2 09/13/ Plasma

9 9 2-D Capacitive Fluid Models - Electrostatics model (Poisson equation only) - Ignores EM effects - Resolves sheath motion; computationally expensive - Investigated role of radial plasma grounding important effects on plasma uniformity RF RF Case 1 09/13/ Plasma Case 2

10 10 2-D Inductive Plasma Fluid Models* Nonlinear solver u,v,p,t Nonlinear solver w j Linear solver E θ Time dependent solver n i,j, Te No Converged? Yes * Chengche (Jerry) Hsu; ( student; graduated 5-06) 09/13/ Plasma

11 11 2-D Inductive Plasma Fluid Models* 150W ICP power, 10mT pressure, Ar 15 sccm, O sccm, and Cl sccm. * Hsu, Coburn, and Graves, J. Physics D, /13/ Plasma

12 12 2-D Multi-frequency Plasma Fluid Models: EM Effects* Use electromagnetic model in FEMLAB, couple to plasma fluid models for parallel plate electrode geometries Solve Maxwell equations in 2-D axial symmetry Assuming a transverse magnetic (TM) mode having only the magnetic field component H φ ~ e jwt, the Maxwell equations are * Insook Lee Hφ = jωε 0κ per, z 1 ( rhφ ) = jωε 0κ pez, r r Er Ez = jωµ 0Hφ, z r 2 ω pe whereκ p = 1 ω( ω jν 09/13/ Plasma σ p = ε ω 0 2 pe jω + ν en en j = 1 σ p, ) ωε 0

13 13 2-D Multi-frequency Plasma Fluid Models: EM Effects* TM wave launched E new (r,z) = E old (r,z) + E EM Model (E) Plasma Model (n e, T e ) * Insook Lee 09/13/ Plasma n e,new (r,z) = n e,old (r,z) + n e, T e,new (r,z) = T e,old (r,z) + T e

14 14 2-D Multi-frequency Plasma Fluid Models: EM Effects* * Insook Lee 60 MHz, 200 mtorr, 20W, Ar 09/13/ Plasma

15 15 Future Milestones Extend tool-scale reactor simulation to industrially-relevant tool chemistries and geometries, focusing on plasma tool uniformity and electromagnetic power coupling 09/13/ Plasma

16 16 Plasma Sources for Feature Level Compensation and Control Workshop & Review September 13, 2006 Michael A. Lieberman, Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura UC Berkeley 09/13/ Plasma

17 17 Summary of Research (Lieberman) Develop kinetic simulation models of multiple frequency capacitive discharge tools for dielectric etch and deposition Focus on electron energy depositions and ion energy distributions 09/13/ Plasma

18 18 Theory of Dual Frequency Stochastic Heating Theory completed and compared to PIC simulations 1. Sstoc = 0.5mevensmubh (1 + πh l / 4)[ H l /( H l + 2.2)] Kawamura High Frequency limit F ( H l n sm = plasma density at ion sheath boundary. u bh = amplitude of high f bulk oscillation velocity. H l = a normalized low f bulk oscillation amplitude. 2 ) = Low Frequency Enhancement For H l >> 1, H l (V sh /T e ) 1/2. Future goal: Incorporate into 2D reactor-scale (Graves) and into 3D feature-scale (Chang) practical simulators. 1 Kawamura et al., Physics of Plasmas 13, (2006). 09/13/ Plasma

19 19 Multi-Frequency Theory of Ion Energy Distributions Theory developed and compared to particle-in-cell simulations V s (f) V i (f) Apply filter α(f) Wu Fourier Transform Inverse Fourier Transform Sheath Voltage V s (t) Ion response V i (t) Σ dv i /dt -1 IED (shown on next slide) Future goal: Incorporate into 2D reactor-scale (Graves) and into 3D feature-scale (Chang) practical simulators. Improve filter function Address issues of ion-neutral collisions in the sheath and fast neutral generation 09/13/ Plasma

20 20 IED MHz / MHz IED MHz / MHz, 2 MHz 0 Ener gy (ev) Energy (ev) 09/13/ Plasma 1000

21 21 Future Milestones Perform particle-in-cell simulations with dual and/or triple frequency source power to determine ion energy distributions at substrate 09/13/ Plasma

22 22 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Workshop & Review September 13, 2006 Jane P. Chang and John Hoang UCLA Special Acknowledgements: Helena Stadniychuk at Cypress 09/13/ Plasma

23 23 Summary of Research (Chang) Feature Scale Modeling Develop a pseudo 3-dimensional simulator based on direct simulation Monte Carlo (DSMC) method Enable process development by shortening experimental time and cost Feature scale model can be coupled to tool scale (Prof. Graves, UCB) Feature scale model can be coupled with PIC/MC model (Prof. Lieberman, UCB) Shallow Trench Isolation (STI) Analyze the outcome of design of experiments in STI etch to correlate experimentally measured parameters with simulation input variables Predict profile evolution during STI etch and confirm simulation with experimental SEM images 09/13/ Plasma

24 24 STI Process ITRS dictates stringent conditions for optimal trench isolation as minimum feature size decreases PR nitride oxide Positive trench tapering angles desired to avoid sharp recesses leading to poly wrap-around Smooth sidewalls needed for less physical and electrical damage Silicon Isolation stack Pattern nitride and strip PR Trench etch Round bottom corners to minimize stress and avoid voids in gapfill tx 1 (nitride) SEM Measured Parameters D 1 D 2 Nitride SWA Sidewall oxidation and deposit trench oxide Desired Properties: CMP planarization Strip nitride and remove pad oxide tx 2 (top Si) tx 3 (bot Si) D 3 Total Si Depth D 4 > D 2 /2 Recess < 0.1 D 2 Curvature: r Si top = r Si bottom = 0.1 D 2 Definitions: D 4 top Si SWA bot Si SWA SWA: sidewall angle; Adapted from ITRS 2003 Thermal Films Supplemental 09/13/ Plasma θ nitride = 90º arctan[(d 1 -D 2 )/2/tx 1 ] θ top Si = 90º arctan[(d 2 -D 3 )/2/tx 2 ] θ bot Si = 90º arctan[(d 3 -D 4 )/2/tx 3 ]

25 25 Correlation between Process and Simulation Parameters Process Parameters Simulation Parameters Chamber Pressure (mtorr) Source Power (W s ) Wafer bias (W b ) DC ratio = I outer /I inner Cl 2 flowrate (sccm) N 2 flowrate (sccm) O 2 flowrate (sccm) Ion Angle Distribution (IAD) Ion Energy Distribution (IED) Mean Ion Energy Cl Neutral to Ion Ratio N to Ion Ratio (in development) O to Ion Ratio (in development) E-Field lines (future plans) Cl 2 N 2 O 2 I outer I inner Pressure Coil Power W s Substrate Bias W s W b 09/13/ Plasma Other simulation parameters defined by elemental assignment of the initial profile Additional simulation parameters defined by different plasma compositions

26 26 Surface Representation and Normal Actual representation Original representation Cell-centered representation Modified Cell-centered rep. (to be implemented) Cells with high Flux Surface Four point check Least Squares Modified Least Squares Mask Silicon Least Squares Normal Position Along Interface 09/13/ Plasma bumps in sloped side walls removed Least Squares Normal Position Along Interface

27 27 Integrating Results from Plasma, Reactor, and MD Simulations Species Conc. from Reactor/Plasma models Source Plane in Feature Evolution Cl + :Cl:Cl 2 :O:O 2 :SiCl 2 n + Vacuum φ Mask (SiN x ) 85º Grazing 75º Grazing Silicon IEDF and IADF from PIC Model Molecular Scale Scattering by MD Ions at Source Plane in Feature Evolution Scattering Function in Feature Evolution C.F. Abrams and D. B. Graves, JVST A 16(5), 3006 (1998) C. Hsu and D. Graves. 09/13/ Plasma A. Wu and M. Lieberman,

28 4 28 Reaction Kinetics for Etching/Deposition Effect of E ion and n/+ ratio 75eV Cl + /Cl Effect of deposition on etching 1.2 e SiCl SiCl + Cl 3 Etching Yield 2 Si Cl ev (Lam TCP) 55eV Cl + /Cl 35eV Cl + /Cl 0.8 Etching Yield Si Cl Cl/Cl + = 120 with SiCl 2 Cl + alone with SiCl Cl Flux Ratio Cl + 4 Selectivity SiCl 2 Flux Ratio + Cl 4 Angular Dependency Poly Poly Yield Oxide Oxide Cl Flux Ratio Ion incident angle φ (degree from normal) + Ar Kinetics affected by ion energy and angle: 09/13/ Plasma Y = c( φ)* A*( Eion Eth )

29 29 Pressure (mt) W s (W) W b (W) DC ratio ID Fractional Factorial DOE for Si Etch Cl 2 (sccm) N 2 (sccm) O 2 (sccm) Chlorination: Sorption of Chlorine ion: Ion-enhanced etching: SiCl 2 Deposition: Oxygenation: Sputtering: Sorption of sputtered Si: Recombination of chlorine: 7 factors, 2 levels, and 16 experiments Pressure (plasma density) and DC ratio had statistically significant effects Need to quantify the effect of oxygen addition DOE to assess the effect of oxygen 09/13/ Plasma Mechanisms considered in simulation Cl Cl s 0 Cl (1 ζ Cl ζ O ) ( g ) + Cl( s) + + Cl c( φ) ( g) ( s) Si + 4Cl SiCl + 4 c( φ) βcl ( s) ( s) 4( g) SiCl 3 0 ssicl 2 + Si + 2 Cl 2( g) ( s) ( s) so 0 (1 ζcl ζo) + O ( g) ( s) Y SP Si Si + () s ( g) s0 + Si Si ( g) ( s) r + Cl ( g) ( s) 2 + ( g) O Si Si Cl Cl Cl Cho, H.S. et al. Mat. Sci. in Semi. Process. 8 (2005) 239 Ulal, S.J et al. J. Vac. Sci. Technol. A 20(2)

30 Cl 2 /N 2 Plasma 30 Simulations vs. Experiments Effect of Chemistry Cl 2 /N 2 /O 2 Plasma º Low density plasma º º º º º Effect of Plasma º º 256 High density plasma º 82.5º Simulated more microtrenching and less tapering in a lower density plasma Identified the effect of neutral-to-ion ratio and IAD 09/13/ Plasma Simulated no microtrenching and much tapered sidewalls due to oxygen addition Assumption for deposition: the etching kinetics for SiO x Cl y similar to SiCl 2

31 31 Low DC ratio Simulations vs. Experiments High density plasma, with O 2 in Cl 2 High DC ratio º º º 85.6º º º High density plasma, with O 2 in Cl 2, low DC ratio Low substrate bias High substrate bias º º º º 87.7º º º More hard mask erosion, resulting in slight bowing Higher etch rate, more hard mask erosion, resulting in slight bowing 09/13/ Plasma

32 32 Year 3 Milestones Year 3: January 27, 2006 ~ January 26, 2007 Quantified the effect of O 2 addition to the etch profile evolution during STI etch Predicted feature profile evolution during STI etch and confirm simulation with experimental measurements Validate the simulation results beyond specially planned DOE results Correlate plasma operating parameters to simulation input profiles to allow a more direct comparison of the simulation results to experimental outcomes 09/13/ Plasma

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges

2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges 63 rd GEC & 7 th ICRP, 2010 2D Hybrid Fluid-Analytical Model of Inductive/Capacitive Plasma Discharges E. Kawamura, M.A. Lieberman, and D.B. Graves University of California, Berkeley, CA 94720 This work

More information

65 th GEC, October 22-26, 2012

65 th GEC, October 22-26, 2012 65 th GEC, October 22-26, 2012 2D Fluid/Analytical Simulation of Multi-Frequency Capacitively-Coupled Plasma Reactors (CCPs) E. Kawamura, M.A. Lieberman, D.B. Graves and A.J. Lichtenberg A fast 2D hybrid

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES

MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES MODELING AND SIMULATION OF LOW TEMPERATURE PLASMA DISCHARGES Michael A. Lieberman University of California, Berkeley lieber@eecs.berkeley.edu DOE Center on Annual Meeting May 2015 Download this talk: http://www.eecs.berkeley.edu/~lieber

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE

NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE NONLINEAR ELECTROMAGNETICS MODEL OF AN ASYMMETRICALLY DRIVEN CAPACITIVE DISCHARGE M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators:

More information

FINAL REPORT. DOE Grant DE-FG03-87ER13727

FINAL REPORT. DOE Grant DE-FG03-87ER13727 FINAL REPORT DOE Grant DE-FG03-87ER13727 Dynamics of Electronegative Plasmas for Materials Processing Allan J. Lichtenberg and Michael A. Lieberman Department of Electrical Engineering and Computer Sciences

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES

MWP MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES MWP 1.9 MODELING AND SIMULATION OF ELECTROMAGNETIC EFFECTS IN CAPACITIVE DISCHARGES Insook Lee, D.B. Graves, and M.A. Lieberman University of California Berkeley, CA 9472 LiebermanGEC7 1 STANDING WAVES

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

Plasma Team s Focus IMPACT 1. Sponsors. Graves Beam and MD. Lieberman PIC-MCC. Chang Beam and DSMC. Reactor Scale Model

Plasma Team s Focus IMPACT 1. Sponsors. Graves Beam and MD. Lieberman PIC-MCC. Chang Beam and DSMC. Reactor Scale Model Plasma Team s Focus Couple models at various scales to predict profile evolution Build even stronger interactions between PIs and sponsors Key Projects Develop fast algorithms to determine energy/angular

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

MODELING PLASMA PROCESSING DISCHARGES

MODELING PLASMA PROCESSING DISCHARGES MODELING PROCESSING DISCHARGES M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Collaborators: E. Kawamura, D.B. Graves, and A.J. Lichtenberg,

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING NARRW GAP ELECTRNEGATIVE CAPACITIVE DISCHARGES AND STCHASTIC HEATING M.A. Lieberman, E. Kawamura, and A.J. Lichtenberg Department of Electrical Engineering and Computer Sciences University of California

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge

Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Two-dimensional Fluid Simulation of an RF Capacitively Coupled Ar/H 2 Discharge Lizhu Tong Keisoku Engineering System Co., Ltd., Japan September 18, 2014 Keisoku Engineering System Co., Ltd., 1-9-5 Uchikanda,

More information

Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges

Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges Effect of a dielectric layer on plasma uniformity in high frequency electronegative capacitive discharges Emi KawamuraDe-Qi WenMichael A. Lieberman and Allan J. Lichtenberg Citation: Journal of Vacuum

More information

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595 Dual-RadioFrequency Capacitively-Coupled Plasma Reactors Tomás Oliveira Fartaria nº58595 Index Capacitive Reactors Dual Frequency Capacitively-Coupled reactors o Apparatus for improved etching uniformity

More information

The Role of Secondary Electrons in Low Pressure RF Glow Discharge

The Role of Secondary Electrons in Low Pressure RF Glow Discharge WDS'05 Proceedings of Contributed Papers, Part II, 306 312, 2005. ISBN 80-86732-59-2 MATFYZPRESS The Role of Secondary Electrons in Low Pressure RF Glow Discharge O. Brzobohatý and D. Trunec Department

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston 1 Outline Introduction PIC-MCC simulation of tailored bias on boundary electrode Semi-analytic model Comparison

More information

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING Second Edition MICHAEL A. LIEBERMAN ALLAN J, LICHTENBERG WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC PUBLICATION CONTENTS PREFACE xrrii PREFACE

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Control of Ion Energy Distributions on Plasma Electrodes

Control of Ion Energy Distributions on Plasma Electrodes Control of Ion Energy Distributions on Plasma Electrodes P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston DOE Plasma Science Center Teleseminar, February

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Plasma Chemistry and Kinetics in Low Pressure Discharges

Plasma Chemistry and Kinetics in Low Pressure Discharges Plasma Chemistry and Kinetics in Low Pressure Discharges Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland tumi@hi.is 12o. Encontro Brasileiro de Física de Plasmas Brasilia, Brazil

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720

K. Takechi a) and M. A. Lieberman Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, California 94720 JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 7 1 OCTOBER 2001 Effect of Ar addition to an O 2 plasma in an inductively coupled, traveling wave driven, large area plasma source: O 2 ÕAr mixture plasma modeling

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* Junqing Lu and Mark J. Kushner Department of Electrical and Computer Engineering at Urbana-Champaign mjk@uiuc.edu, jqlu@uiuc.edu

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston Acknowledgements: DoE Plasma Science Center, NSF Presented at the 57 th AVS Conference, Albuquerque, NM

More information

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES* 51th Gaseous Electronics Conference & 4th International Conference on Reactive Plasmas Maui, Hawai i 19-23 October 1998 OPTIMIZATION OF PLASMA UNIFORMITY USING HOLLOW-CATHODE STRUCTURE IN RF DISCHARGES*

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J. TECHCON 98 Las Vegas, Nevada September 9-11, 1998 MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL Ron L. Kinder and Mark J. Kushner Department of

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

4 Modeling of a capacitive RF discharge

4 Modeling of a capacitive RF discharge 4 Modeling of a capacitive discharge 4.1 PIC MCC model for capacitive discharge Capacitive radio frequency () discharges are very popular, both in laboratory research for the production of low-temperature

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects

Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 31, NO. 4, AUGUST 2003 703 Effects of Plasma Chamber Pressure on the Etching of Micro Structures in SiO 2 With the Charging Effects Hye Sang Park, Sung Jin Kim,

More information

PIC-MCC simulations for complex plasmas

PIC-MCC simulations for complex plasmas GRADUATE SUMMER INSTITUTE "Complex Plasmas August 4, 008 PIC-MCC simulations for complex plasmas Irina Schweigert Institute of Theoretical and Applied Mechanics, SB RAS, Novosibirsk Outline GRADUATE SUMMER

More information

Plasma Modeling with COMSOL Multiphysics

Plasma Modeling with COMSOL Multiphysics Plasma Modeling with COMSOL Multiphysics Copyright 2014 COMSOL. Any of the images, text, and equations here may be copied and modified for your own internal use. All trademarks are the property of their

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES*

SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(0,n) MODES* 25th IEEE International Conference on Plasma Science Raleigh, North Carolina June 1-4, 1998 SIMULATIONS OF ECR PROCESSING SYSTEMS SUSTAINED BY AZIMUTHAL MICROWAVE TE(,n) MODES* Ron L. Kinder and Mark J.

More information

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher

Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Korean J. Chem. Eng., 0(), 407-413 (003) Trajectories of Ions inside a Faraday Cage Located in a High Density Plasma Etcher Jung-Hyun Ryu, Byeong-Ok Cho, Sung-Wook Hwang, Sang Heup Moon and Chang-Koo Kim*

More information

Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma

Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma JOURNAL OF APPLIED PHYSICS VOLUME 95, NUMBER 7 1 APRIL 2004 Simulation of a two-dimensional sheath over a flat insulator conductor interface on a radio-frequency biased electrode in a high-density plasma

More information

ADVENTURES IN TWO-DIMENSIONAL PARTICLE-IN-CELL SIMULATIONS OF ELECTRONEGATIVE DISCHARGES

ADVENTURES IN TWO-DIMENSIONAL PARTICLE-IN-CELL SIMULATIONS OF ELECTRONEGATIVE DISCHARGES ADVENTURES IN TWO-DIMENSIONAL PARTICLE-IN-CELL SIMULATIONS OF ELECTRONEGATIVE DISCHARGES PART 1: DOUBLE LAYERS IN A TWO REGION DISCHARGE E. Kawamura, A.J. Lichtenberg, M.A. Lieberman and J.P. Verboncoeur

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials 1 Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials Thorsten Lill, Keren J. Kanarik, Samantha Tan, Meihua Shen, Alex Yoon, Eric Hudson, Yang Pan, Jeffrey Marks, Vahid Vahedi, Richard A. Gottscho

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice

Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Xi Li a),b) and Gottlieb S. Oehrlein a),c) Materials Science and Engineering and Institute

More information

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1

ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1 ANGULAR DEPENDENCE OF ELECTRON VELOCITY DISTRIBUTIONS IN LOW-PRESSURE INDUCTIVELY COUPLED PLASMAS 1 Alex V. Vasenkov 2, and Mark J. Kushner Department of Electrical and Computer Engineering Urbana, IL

More information

Plasma properties determined with induction loop probes in a planar inductively coupled plasma source

Plasma properties determined with induction loop probes in a planar inductively coupled plasma source Plasma properties determined with induction loop probes in a planar inductively coupled plasma source J. A. Meyer, a) R. Mau, and A. E. Wendt b) Engineering Research Center for Plasma-Aided Manufacturing,

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING

A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING A MINI-COURSE ON THE PRINCIPLES OF LOW-PRESSURE DISCHARGES AND MATERIALS PROCESSING Michael A. Lieberman Department of Electrical Engineering and Computer Science, CA 94720 LiebermanMinicourse10 1 OUTLINE

More information

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING

NARROW GAP ELECTRONEGATIVE CAPACITIVE DISCHARGES AND STOCHASTIC HEATING NARRW GAP ELECTRNEGATIVE CAPACITIVE DISCHARGES AND STCHASTIC HEATING M.A. Lieberman Deartment of Electrical Engineering and Comuter Sciences University of California Berkeley, CA 9472 Collaborators: E.

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Trench filling by ionized metal physical vapor deposition

Trench filling by ionized metal physical vapor deposition Trench filling by ionized metal physical vapor deposition Junqing Lu a) and Mark J. Kushner b) University of Illinois, 1406 W. Green Street, Urbana, Illinois 61801 Received 25 January 2001; accepted 16

More information

Modelling of low-temperature plasmas: kinetic and transport mechanisms. L.L. Alves

Modelling of low-temperature plasmas: kinetic and transport mechanisms. L.L. Alves Modelling of low-temperature plasmas: kinetic and transport mechanisms L.L. Alves llalves@tecnico.ulisboa.pt Instituto de Plasmas e Fusão Nuclear Instituto Superior Técnico, Universidade de Lisboa Lisboa,

More information

Plasmas rf haute densité Pascal Chabert LPTP, Ecole Polytechnique

Plasmas rf haute densité Pascal Chabert LPTP, Ecole Polytechnique Plasmas rf haute densité Pascal Chabert LPTP, Ecole Polytechnique chabert@lptp.polytechnique.fr Pascal Chabert, 2006, All rights reserved Programme Introduction Généralité sur les plasmas Plasmas Capacitifs

More information

Application of Rarefied Flow & Plasma Simulation Software

Application of Rarefied Flow & Plasma Simulation Software 2016/5/18 Application of Rarefied Flow & Plasma Simulation Software Yokohama City in Japan Profile of Wave Front Co., Ltd. Name : Wave Front Co., Ltd. Incorporation : March 1990 Head Office : Yokohama

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

RECENT PROGRESS ON THE PHYSICS

RECENT PROGRESS ON THE PHYSICS RECENT PROGRESS ON THE PHYSICS OF CAPACITIVE DISCHARGES M.A. Lieberman Department of Electrical Engineering and Computer Sciences University of California Berkeley, CA 94720 Download this talk: http://www.eecs.berkeley.edu/

More information

Angular anisotropy of electron energy distributions in inductively coupled plasmas

Angular anisotropy of electron energy distributions in inductively coupled plasmas JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Angular anisotropy of electron energy distributions in inductively coupled plasmas Alex V. Vasenkov a) and Mark J. Kushner b) Department of

More information

Reactor-Scale Models for Rf Diode Sputtering of Metal Thin-Films. S. Desa, S. Ghosal, R.L. Kosut, J.L. Ebert, T.E. Abrahamson, A.

Reactor-Scale Models for Rf Diode Sputtering of Metal Thin-Films. S. Desa, S. Ghosal, R.L. Kosut, J.L. Ebert, T.E. Abrahamson, A. AVS 45 th International Symposium Paper Abstract Number: 946 Program Number TF-WeA4 Reactor-Scale Models for Rf Diode Sputtering of Metal Thin-Films S. Desa, S. Ghosal, R.L. Kosut, J.L. Ebert, T.E. Abrahamson,

More information

Comsol Multiphysics 在低溫電漿模擬之應用 ~My Little Journal with Simulation

Comsol Multiphysics 在低溫電漿模擬之應用 ~My Little Journal with Simulation Comsol Multiphysics 在低溫電漿模擬之應用 ~My Little Journal with Simulation 多重物理 CAE 分析軟體 COMSOL Multiphysics CONFERENCE 用戶研討會 Nov 9, 2012 台灣大學化工系徐振哲 Start of Plasma Plasma: ionized gas with equal amount of positive

More information

Energy fluxes in plasmas for fabrication of nanostructured materials

Energy fluxes in plasmas for fabrication of nanostructured materials Energy fluxes in plasmas for fabrication of nanostructured materials IEAP, Universität Kiel 2nd Graduate Summer Institute "Complex Plasmas" August 5-13, 2010 in Greifswald (Germany) AG 1 Outline Motivation

More information

The plasma simulation system Brochure.

The plasma simulation system Brochure. 1 The plasma simulation system 2016 Brochure www.quantemol.com 2 What does Q-VT do? Quantemol-Virtual Tool is an expert software system for the simulation of industrial plasma processing tools. Q-VT builds

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge

Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge Influence of driving frequency on the metastable atoms and electron energy distribution function in a capacitively coupled argon discharge S. Sharma Institute for Plasma Research, Gandhinagar -382428,

More information

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741

Lee Chen, Merritt Funk, and Radha Sundararajan Tokyo Electron America, Austin, Texas 78741 Measurement of electron temperatures and electron energy distribution functions in dual frequency capacitively coupled CF 4 /O 2 plasmas using trace rare gases optical emission spectroscopy Zhiying Chen,

More information

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment

More information

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois

More information

Copyright 1996, by the author(s). All rights reserved.

Copyright 1996, by the author(s). All rights reserved. Copyright 1996, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are

More information

The Plasma Simulation System Brochure.

The Plasma Simulation System Brochure. The Plasma Simulation System 2018 Brochure www.quantemol.com Benefits of Q-VT An experimentally validated simulation system focused on modelling plasma tools User-friendly interface Sets of validated cross-sections

More information

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas M. Schaepkens, R. C. M. Bosch, a) T. E. F. M. Standaert, and G. S. Oehrlein b) Department of Physics,

More information

Low-field helicon discharges

Low-field helicon discharges Plasma Phys. Control. Fusion 39 (1997) A411 A420. Printed in the UK PII: S0741-3335(97)80958-X Low-field helicon discharges F F Chen, X Jiang, J D Evans, G Tynan and D Arnush University of California,

More information

Modeling and Simulation of Plasma Based Applications in the Microwave and RF Frequency Range

Modeling and Simulation of Plasma Based Applications in the Microwave and RF Frequency Range Modeling and Simulation of Plasma Based Applications in the Microwave and RF Frequency Range Dr.-Ing. Frank H. Scharf CST of America What is a plasma? What is a plasma? Often referred to as The fourth

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Technology for Micro- and Nanostructures Micro- and Nanotechnology Lecture 10: Deposition Technology for Micro- and Nanostructures Micro- and Nanotechnology Peter Unger mailto: peter.unger @ uni-ulm.de Institute of Optoelectronics University of Ulm http://www.uni-ulm.de/opto

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Electron Transport Behavior in a Mirror Magnetic Field and a Non-uniform Electric Field

Electron Transport Behavior in a Mirror Magnetic Field and a Non-uniform Electric Field Commun. Theor. Phys. (Beijing, China) 35 (2001) pp. 207 212 c International Academic Publishers Vol. 35, No. 2, February 15, 2001 Electron Transport Behavior in a Mirror Magnetic Field and a Non-uniform

More information