H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA

Size: px
Start display at page:

Download "H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou University of Houston. November 2, AVS 58h International Symposium, Nashville, TN, USA"

Transcription

1 Near-Threshold Ion-Enhanced Silicon Etching H. Shin, W. Zhu, V. M. Donnelly, and D. J. Economou o ou University of Houston Acknowledgements: DOE Plasma Science Center, NSF and Varian Semiconductor Equipment Associates November 2, 2011 AVS 58h International Symposium, Nashville, TN, USA

2 Outline 2 Control of ion energy distribution (IED) Experimental set-up for near-threshold etching Results and Discussion Etching threshold, etching rate & yield Sub-threshold etching Photo-assisted etching Summary

3 Control of IED 3 In 2010 AVS, we presented how to control IED using a boundary electrode*. Detailed IEA configuration Biasable boundary electrode ICP coil IED Faraday shield 0.02 Variable DC synchronous bias 20µs 80µs ON OFF V p during active glow * H. Shin et al, PSST (2011) Controllable ion energy by synchronous DC bias BE bias during afterglow 7 mtorr 14 mtorr mtorr 50 mtorr Energy (ev) The IEDs were obtained by a differentially pumped repelling field energy analyzer. We can make IED with two peaks apart from each other placing E th in the middle.

4 Time resolved electron temperature 4 T e (ev) 5.0 ON 7mTorr 14mTorr mTorr mTorr OFF Electrons are cooled 1.5 by diffusion time ( s) At higher pressure, T e is lower during active glow, but higher during afterglow. We attribute the narrower IED width to the low T e Late afterglow biasing is more beneficial for narrow IED. Broadening of IED is due to collisions in pre-sheath and a function of T e. T e and V p changes while biasing. Time averaged IED is a convolution of IEDs during the bias. PS2-TuA9, W. Zhu

5 Benefits of such control of IED 5 We reviewed what affects IED and learnt how to control it. Using the precise control of IED with a narrow width, we investigated ion-assisted plasma etching in a plasma environment. Such precise control of IED is applicable to high selectivity etching and precise etching (e.g. atomic layer etching). Conventional plasma reactors have a broad IED and high plasma potential. Next we present a surprising and important discovery of sub-threshold etching in plasma thanks to our control of IED.

6 Setup for etching study 6 Biasable boundary electrode rf coil for ICP Faraday shield p-type Si sample Spectrometer photodiode IR laser 1.31µm Periscope for OES Cooling water Si 2881 Å was used to monitor etching. Etched depth was measured by IR laser interferometry. Ion flux was measured for etching yield. A load-lock is ready for clean and reproducible environment.

7 mission Rela ative ER or Si e Si removal with a well controlled IED mTorr 28mTorr 50mTorr 60mTorr ER(E) at 50mTorr Y(0eV)= mTorr E th Y(40eV)=0.41 Y(30eV)= E 1/2 (ev 1/2 ) ER (Å/m mtorr 1. E th not by extrapolation 2. Universal etching rate relation 3. Y(E) 4. Subthreshold etching UNIVERSITY of HOUSTON Plasma Processing Lab

8 No spontaneous chemical etching 8 30nm SiO 2 mask p type Si 50mTorr 1% Cl 2 Ar pulsed plasma with synchronous bias, 40V. p type Si is known not to etch by Cl or Cl 2 spontaneously [Mogab and Levinstein (1979), Ogryzlo et al (1990), Flamm (1990)] The sub threshold h was 2/3 of Ion assisted itdetching thi at 40V, but no undercutting. The sub threshold etching is NOT due to spontaneous chemical (isotropic) etching. UNIVERSITY of HOUSTON Plasma Processing Lab

9 Pure Cl 2 plasma also shows 9 the sub threshold etching Energetic Ar metatstables (11.55 and ev for 3 P 2 and 3 P 0 ) could have lead to surface reaction. This excludes possibilities of the Ar metastables as a source for the spurious etching. 882 Si int tensity at % Cl 2 CW plasma at 40mTorr BE bias for 50µs at 10kHz Boundary electrode bias 1/2 UNIVERSITY of HOUSTON Plasma Processing Lab

10 Low energy (<E th ) ions etching to minimize charge exchange V B V A V p C Sample (V C ) -5V A B Current (ma) 1 Voltage (V) Ions Radicals Photons -2-4 Radicals Photons Relative Etch hing Rate Sample bias was used to repel ions The low energy ions can create low energy electrons when they are neutralized by an Auger process. V p hardly changed during this measurement Fast neutrals by charge exchange can be safely ignored at low pressure. We did turn off ALL the ion flux but still have the same weird etching. UNIVERSITY of HOUSTON Plasma Processing Lab

11 Photo assisted etching (PAE) V (I=-3.5mA) 2.5 0V (I=-0.1mA) Si -30V (I=0.7mA) (arb. units) Intensity Si Si SiCl The sub-threshold etching remains the same under no ion bombardment Wavelength (Å) With negative 30V (ion-assisted etching with E=30+V p ), the etching is more. With positive 30V (no ions), the etching is the same as with no bias. The sub-threshold etching is due to photons which always exist in plasma. UNIVERSITY of HOUSTON Plasma Processing Lab

12 Validating proof of PAE 12 Si emission at 7mTorr w/o grid correcte w/ grid E 1/2 (ev 1/2 ) Comparison of the etching rate with grids (3% Cl 2 in CW) to the earlier measurement without the grids (1% Cl 2 in PP). With our best effort of calibration, the sub-threshold etching with the grid coincides well with the one without the grid validation of the grid experiment and reconfirm of no ion effect on the sub-threshold. This is the first time to report the photo-assisted etching (PAE) in a plasma environment.

13 Further investigation of PAE 13 (b) Etching for 12min in Ar plasma with 3% Cl 2 in a CW mode (300W). Same plasma and neutrals but different light illumination using an opaque and transparent (>170nm) quartz roof. Etched depth was compared using a Etched depth was compared using a step profilometer.

14 VUV is Responsible for PAE 14 Etched de pth (nm) Opaque (p-si) Transparent(p-Si) Position (#) Under the opaque roof, the p-type Si etching rate is much smaller due to smaller light illumination. n-type Si showed more overall etching but less effect of photo- assisted etching. With the quartz roof, the etching rate is 105 Å/min, which is only a fraction (<9%) of what would ve been expected at the same conditions (>1200 Å/min) (3% Cl 2, 300W). This implies the photo-assisted etching is dominated by the photons blocked by quartz, VUV photons below 170nm.

15 Efficiency of VUV for etching 15 Streller et al, Journal of Electron Spectroscopy and Related Phenomena (1996) It was reported by Streller et al that VUV <130nm is much more efficient to etch GaAs in Cl 2 system in their study using synchrotron. Strong VUV lines (104.8nm and nm) exist in Ar plasma. 52mW/cm 2 in Ar ICP over 50 and 250nm [Woodworth et al JVST A (2001)]

16 SEM of the sub threshold etching 16 DG 0.1 L/0.1S 0V DG 0.1 L/0.1S 0V ~110nm ~15nm Ar 50mTorr 10min Xe 50mTorr 10min Under no ion-assisted etching regime, we see micro-trenches by PAE. Chec Like ions, VUV photons glanced off the sidewall lead to microtrenches (???).

17 SEM of sidewalls in halogen etching 17 Cl 2 HBr Cl 2 HBr 400W ICP; 80W rf biasing; 100sccm 400W ICP; 20W rf biasing; 175sccm Cl 2 Mahorowala et al JVST B (2002) Vyvoda et al JVST B (2000) Longer time of Cl 2 etching

18 2.5min Ar 90nm 5min 105nm 120nm 210nm Xe & Ar comparison 50mTorr 40V DG0.1L/0.1S 1S 2.5min 110nm Xe 80nm 5min 180nm 360nm

19 Summary 19 Using our ability to control IED for exploring plasma etching near threshold, we showed a definitive evidence of photo-assisted etching (PAE) in plasma etching environment. PAE is dominated by VUV photons. The PAE could be an impediment to etching with atomic precision processing for smaller device fabrication in the future. The PAE could also be a cause of some of etching artifacts in chlorine containing plasma etching (e.g. sloped sidewalls and micro-trenching). UNIVERSITY of HOUSTON Plasma Processing Lab

20 Thank you

21 BACKUP

22 22 ion intensit ty (a.u.) Si 2881 emiss V p by synchronous active glow bias % pulsed 100sccm Ar/Cl2/TRG p-type Si, synchronous boundary bias HV=1500, G=10^9 activeglow bias 1.0 active glow sync bias after glow sync bias ~12V=Active glow V Ar Active glow sync bias does not produce more etching until afterglow ion peak reaches the threshold. V p during the active glow can be deduced Bias voltage (V)

23 Current measured at the sample 200 Bias during afterglow I (ma) 100 Ati Active glow +20V 0 0V Time ( s)

24 Si 2881 emission intensity ( a.u.) % pulsed 100sccm Ar/Cl2/TRG p-type Si, synchronous boundary bias HV=1500, G=10^9 activeglow bias active glow sync bias after glow sync bias ~12V=Active glow V p Bias voltage (V)

25 IED is determined by 25 temporal evolution of V and T temporal evolution of V p and T e Ar Te Kr Te Xe Te Ar Vp Kr Vp Xe Vp T e (ev V) V p (V) time ( s) time ( s) T e and V p decays slower in Xe plasma (slower diffusion cooling). V p is similar but T e is highest in Xe plasma. This results in broader width in Xe plasma.

26 IEDs of Ar, Kr and Xe pulsed plasmas Ar Kr Xe 0.3 IED Energy (ev) Ar has the narrowest width of IED Xe has the lowest V p during active glow T e and V p changes while biasing. Broadening of IED is due to collisions in pre-sheath and a function of T e. Time averaged IED is a convolution of IEDs during the bias duration.

27 Etching with different buffer 27 gases PMT current (A A) 9.0x10-8 Ar 120(11W) 8 Kr 110(4W) Xe 110(3W) 8.0x x mTorr 60x10-8 G=10 8 ; HV=1500V 6.0x10 5.0x x x x x BE bias (V) or ion energy (ev) Uncertain

28 Preliminary Result of Different Etchant/Buffer Gas 28 ion (V) Si emiss G=10^7, HV=1500V 50mTorr Cor_1% Cl2 Cor_1% Br % Br emission (V) Si G=10^7, HV=1500, 50mTorr Cor_1% Br2/Xe Cor_1% Br2/Ar Bias Bias 0.5

29 SEM images of etched patterns for XX min. with 0V afterglow bias in different carrier gas plasma with 1% Cl2 at 50mTorr: (Top) in pulsed Ar plasma with 1% Cl2 (a) 100nm line and 100nm space (b) 500nm line and 100nm space; (Bottom) in pulsed Xe plasma with 1% Cl2 (c) 100nm line and 100nm space (d) 500nm line and 100nm space

30 IR interfer rometry ) Si emissio on (a.u Plasma off Time (min) 0 During etching with 30V BE bias, 50mTorr 1% Cl 2

31 References Mogab and Levinstein (1979), Ogryzlo gy et al (1990), Flamm (1990) : no spontaneous etching of p-type Si by Cl or Cl2 Photochemical etching F. A. Houle T. J. Chuang (1982) Ehrlich et al(1981) Okano et al Jackman Strellar et al More 31

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF

Effect of Noble Gas. Plasma Processing Laboratory University of Houston. Acknowledgements: DoE Plasma Science Center and NSF Ion Energy Distributions in Pulsed Plasmas with Synchronous DC Bias: Effect of Noble Gas W. Zhu, H. Shin, V. M. Donnelly and D. J. Economou Plasma Processing Laboratory University of Houston Acknowledgements:

More information

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control Possible by Advanced Ion Energy Control V. M. Donnelly Department of Chemical and Biomolecular Engineering University of

More information

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases Geun-Young Yeom SungKyunKwan University Problems of Current Etch Technology Scaling

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston 1 Outline Introduction PIC-MCC simulation of tailored bias on boundary electrode Semi-analytic model Comparison

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois,

More information

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam Lecture 10 Outline 1. Wet Etching/Vapor Phase Etching 2. Dry Etching DC/RF Plasma Plasma Reactors Materials/Gases Etching Parameters

More information

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Nanopantography: A method for parallel writing of etched and deposited nanopatterns Nanopantography: A method for parallel writing of etched and deposited nanopatterns Vincent M. Donnelly 1, Lin Xu 1, Azeem Nasrullah 2, Zhiying Chen 1, Sri C. Vemula 2, Manish Jain 1, Demetre J. Economou

More information

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing

Optical plasma emission spectroscopy of etching plasmas used in Si-based semiconductor processing INSTITUTE OF PHYSICS PUBLISHING Plasma Sources Sci. Technol. (00) A A30 PLASMA SOURCES SCIENCE AND TECHNOLOGY PII: S093-05(0)3900-X Optical plasma emission spectroscopy of etching plasmas used in Si-based

More information

Control of Ion Energy Distributions on Plasma Electrodes

Control of Ion Energy Distributions on Plasma Electrodes Control of Ion Energy Distributions on Plasma Electrodes P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston DOE Plasma Science Center Teleseminar, February

More information

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)* Ankur Agarwal a) and Mark J. Kushner b) a) Department of Chemical and Biomolecular Engineering University of Illinois

More information

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher Chunshi Cui, John Trow, Ken Collins, Betty Tang, Luke Zhang, Steve Shannon, and Yan Ye Applied Materials, Inc. October 26, 2000 10/28/2008

More information

DOE WEB SEMINAR,

DOE WEB SEMINAR, DOE WEB SEMINAR, 2013.03.29 Electron energy distribution function of the plasma in the presence of both capacitive field and inductive field : from electron heating to plasma processing control 1 mm PR

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

SPUTTER-WIND HEATING IN IONIZED METAL PVD+

SPUTTER-WIND HEATING IN IONIZED METAL PVD+ SPUTTER-WIND HEATING IN IONIZED METAL PVD+ Junqing Lu* and Mark Kushner** *Department of Mechanical and Industrial Engineering **Department of Electrical and Computer Engineering University of Illinois

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Wet and Dry Etching. Theory

Wet and Dry Etching. Theory Wet and Dry Etching Theory 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern transfer, wafer

More information

Plasma etching. Bibliography

Plasma etching. Bibliography Plasma etching Bibliography 1. B. Chapman, Glow discharge processes, (Wiley, New York, 1980). - Classical plasma processing of etching and sputtering 2. D. M. Manos and D. L. Flamm, Plasma etching; An

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials Plasma-Surface Interactions in Patterning High-k k Dielectric Materials October 11, 4 Feature Level Compensation and Control Seminar Jane P. Chang Department of Chemical Engineering University of California,

More information

Second-Harmonic Generation Studies of Silicon Interfaces

Second-Harmonic Generation Studies of Silicon Interfaces Second-Harmonic Generation Studies of Silicon Interfaces Z. Marka 1, Y. D. Glinka 1, Y. Shirokaya 1, M. Barry 1, S. N. Rashkeev 1, W. Wang 1, R. D. Schrimpf 2,D. M. Fleetwood 2 and N. H. Tolk 1 1 Department

More information

Chapter 7 Plasma Basic

Chapter 7 Plasma Basic Chapter 7 Plasma Basic Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives List at least three IC processes

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas 1 Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas Presentation November 14, 2005 Jane P. Chang and John Hoang Department of Chemical and Biomolecular Engineering

More information

Chapter 7. Plasma Basics

Chapter 7. Plasma Basics Chapter 7 Plasma Basics 2006/4/12 1 Objectives List at least three IC processes using plasma Name three important collisions in plasma Describe mean free path Explain how plasma enhance etch and CVD processes

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Electroluminescence from a single nanotube-molecule-nanotube junction Christoph W. Marquardt, Sergio Grunder, Alfred Błaszczyk, Simone Dehm, Frank Hennrich, Hilbert v. Löhneysen,

More information

J. G. Eden. University of Illinois. University of Illinois. Laboratory for Optical Physics and Engineering

J. G. Eden. University of Illinois. University of Illinois. Laboratory for Optical Physics and Engineering NEW OPPORTUNITIES IN PHOTONICS APPLICATIONS : MICROPLASMA DEVICES AND ARRAYS FABRICATED IN SEMICONDUCTORS, CERAMIC AND POLYMER/METAL MULTILAYER STRUCTURES J. G. Eden MICROPLASMAS: AT THE INTERSECTION OF

More information

Plasma Technology September 15, 2005 A UC Discovery Project

Plasma Technology September 15, 2005 A UC Discovery Project 1 Feature-level Compensation & Control Plasma Technology September 15, 2005 A UC Discovery Project 9/15/05 - Plasma Technology 2 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman,

More information

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage Abstract UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS * Yang Yang a) and Mark J. Kushner b) a) Department of Electrical and Computer Engineering

More information

Plasma atomic layer etching using conventional plasma equipment

Plasma atomic layer etching using conventional plasma equipment Plasma atomic layer etching using conventional plasma equipment Ankur Agarwal a Department of Chemical and Biomolecular Engineering, University of Illinois, 600 S. Mathews Ave., Urbana, Illinois 61801

More information

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea

Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Hong Young Chang Department of Physics, Korea Advanced Institute of Science and Technology (KAIST), Republic of Korea Index 1. Introduction 2. Some plasma sources 3. Related issues 4. Summary -2 Why is

More information

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL Prof. Mark J. Kushner Department of Electrical and Computer Engineering 1406 W. Green St. Urbana, IL 61801 217-144-5137 mjk@uiuc.edu http://uigelz.ece.uiuc.edu

More information

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS* Kapil Rajaraman and Mark J. Kushner 1406 W. Green St. Urbana, IL 61801 rajaramn@uiuc.edu mjk@uiuc.edu http://uigelz.ece.uiuc.edu November

More information

Device Fabrication: Etch

Device Fabrication: Etch Device Fabrication: Etch 1 Objectives Upon finishing this course, you should able to: Familiar with etch terminology Compare wet and dry etch processes processing and list the main dry etch etchants Become

More information

J. P. Booth Laboratoire de Physique des Plasmas (LPP), CNRS-Ecole Polytechnique, Route de Saclay, Palaiseau 91128, France

J. P. Booth Laboratoire de Physique des Plasmas (LPP), CNRS-Ecole Polytechnique, Route de Saclay, Palaiseau 91128, France Surface loss rates of and Cl radicals in an inductively coupled plasma etcher derived from time-resolved electron density and optical emission measurements G. A. Curley, L. Gatilova, S. Guilet, and S.

More information

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X

Plasma etch control by means of physical plasma parameter measurement with HERCULES Sematech AEC/APC Symposium X Plasma etch control by means of physical plasma parameter measurement with HERCULES A. Steinbach F. Bell D. Knobloch S. Wurm Ch. Koelbl D. Köhler -1- Contents - Introduction - Motivation - Plasma monitoring

More information

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS S.K. Lazarouk, D.A. Sasinovich BELARUSIAN STATE UNIVERSITY OF INFORMATICS AND RADIOELECTRONICS Outline: -- experimental

More information

Reactive Ion Etching (RIE)

Reactive Ion Etching (RIE) Reactive Ion Etching (RIE) RF 13.56 ~ MHz plasma Parallel-Plate Reactor wafers Sputtering Plasma generates (1) Ions (2) Activated neutrals Enhance chemical reaction 1 2 Remote Plasma Reactors Plasma Sources

More information

Physique des plasmas radiofréquence Pascal Chabert

Physique des plasmas radiofréquence Pascal Chabert Physique des plasmas radiofréquence Pascal Chabert LPP, Ecole Polytechnique pascal.chabert@lpp.polytechnique.fr Planning trois cours : Lundi 30 Janvier: Rappels de physique des plasmas froids Lundi 6 Février:

More information

Section 3: Etching. Jaeger Chapter 2 Reader

Section 3: Etching. Jaeger Chapter 2 Reader Section 3: Etching Jaeger Chapter 2 Reader Etch rate Etch Process - Figures of Merit Etch rate uniformity Selectivity Anisotropy d m Bias and anisotropy etching mask h f substrate d f d m substrate d f

More information

vacuum analysis plasma diagnostics surface science gas analysis

vacuum analysis plasma diagnostics surface science gas analysis Hiden EQP Systems High Sensitivity Mass and Energy Analysers for Monitoring, Control and Characterisation of Ions, Neutrals and Radicals in Plasma. vacuum analysis surface science gas analysis plasma diagnostics

More information

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory Plasma Processing in the Microelectronics Industry Bert Ellingboe Plasma Research Laboratory Outline What has changed in the last 12 years? What is the relavant plasma physics? Sheath formation Sheath

More information

Development and characterization of 3D semiconductor X-rays detectors for medical imaging

Development and characterization of 3D semiconductor X-rays detectors for medical imaging Development and characterization of 3D semiconductor X-rays detectors for medical imaging Marie-Laure Avenel, Eric Gros d Aillon CEA-LETI, DETectors Laboratory marie-laure.avenel@cea.fr Outlines Problematic

More information

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013 The Stanford Nanofabrication Facility Etch Area Overview May 21, 2013 High Density Plasma Systems Etcher Materials Etched Gases available Wafer Size Applied Materials P5000 MRIE ChA Applied Materials P5000

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010

Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Report on Visit to Ruhr University Bochum by International Training Program From October 1st to November 29th 2010 Graduate school of Engineering, Hori-Sekine Lab. Doctor course student Yusuke Abe Ruhr

More information

Lasers... the optical cavity

Lasers... the optical cavity Lasers... the optical cavity history principle, intuitive aspects, characteristics 2 levels systems Ti: Helium Al2O3 - Neon model-locked laser laser VCSEL bragg mirrors cleaved facets 13 ptical and/or

More information

Repetition: Practical Aspects

Repetition: Practical Aspects Repetition: Practical Aspects Reduction of the Cathode Dark Space! E x 0 Geometric limit of the extension of a sputter plant. Lowest distance between target and substrate V Cathode (Target/Source) - +

More information

Electric Field Measurements in Atmospheric Pressure Electric Discharges

Electric Field Measurements in Atmospheric Pressure Electric Discharges 70 th Gaseous Electronics Conference Pittsburgh, PA, November 6-10, 2017 Electric Field Measurements in Atmospheric Pressure Electric Discharges M. Simeni Simeni, B.M. Goldberg, E. Baratte, C. Zhang, K.

More information

Study of a Micro Hollow Cathode Discharge at medium argon gas pressure

Study of a Micro Hollow Cathode Discharge at medium argon gas pressure Study of a Micro Hollow Cathode Discharge at medium argon gas pressure Claudia LAZZARONI Antoine ROUSSEAU Pascal CHABERT LPP Ecole Polytechnique, Palaiseau, FRANCE Nader SADEGHI LSP Grenoble, FRANCE I-V

More information

Supplementary Figure 1 Comparison of single quantum emitters on two type of substrates:

Supplementary Figure 1 Comparison of single quantum emitters on two type of substrates: Supplementary Figure 1 Comparison of single quantum emitters on two type of substrates: a, Photoluminescence (PL) spectrum of localized excitons in a WSe 2 monolayer, exfoliated onto a SiO 2 /Si substrate

More information

Lecture 12. Semiconductor Detectors - Photodetectors

Lecture 12. Semiconductor Detectors - Photodetectors Lecture 12 Semiconductor Detectors - Photodetectors Principle of the pn junction photodiode Absorption coefficient and photodiode materials Properties of semiconductor detectors The pin photodiodes Avalanche

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a)

SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) SCALING OF HOLLOW CATHODE MAGNETRONS FOR METAL DEPOSITION a) Gabriel Font b) Novellus Systems, Inc. San Jose, CA, 95134 USA and Mark J. Kushner Dept. of Electrical and Computer Engineering Urbana, IL,

More information

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas

Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas COMSOL CONFERENCE BOSTON 2011 Effect of Gas Flow Rate and Gas Composition in Ar/CH 4 Inductively Coupled Plasmas Keisoku Engineering System Co., Ltd., JAPAN Dr. Lizhu Tong October 14, 2011 1 Contents 1.

More information

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma Running title: The effect of the chamber wall on FC assisted atomic layer etching of

More information

Introduction to Plasma Etching

Introduction to Plasma Etching Lam Research Corp. 1 Introduction to Plasma Etching Dr. Steve Sirard Technical Director Lam Research Corporation Lam Research Corp. 2 Day 1 Review Plasma Fundamentals + e - e - + * e - + * + e - Collisional

More information

Etching silicon by SF 6 in a continuous and pulsed power helicon reactor

Etching silicon by SF 6 in a continuous and pulsed power helicon reactor Etching silicon by SF 6 in a continuous and pulsed power helicon reactor A. Herrick, A. J. Perry, and R. W. Boswell Citation: Journal of Vacuum Science & Technology A 21, 955 (2003); doi: 10.1116/1.1575215

More information

Studies of the Spin Dynamics of Charge Carriers in Semiconductors and their Interfaces. S. K. Singh, T. V. Shahbazyan, I. E. Perakis and N. H.

Studies of the Spin Dynamics of Charge Carriers in Semiconductors and their Interfaces. S. K. Singh, T. V. Shahbazyan, I. E. Perakis and N. H. Studies of the Spin Dynamics of Charge Carriers in Semiconductors and their Interfaces S. K. Singh, T. V. Shahbazyan, I. E. Perakis and N. H. Tolk Department of Physics and Astronomy Vanderbilt University,

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Plasma parameter evolution in a periodically pulsed ICP

Plasma parameter evolution in a periodically pulsed ICP Plasma parameter evolution in a periodically pulsed ICP V. Godyak and B. Alexandrovich OSRAM SYLVANIA, 71 Cherry Hill Drive, Beverly, MA 01915, USA The electron energy probability function (EEPF) has been

More information

EE 6313 Homework Assignments

EE 6313 Homework Assignments EE 6313 Homework Assignments 1. Homework I: Chapter 1: 1.2, 1.5, 1.7, 1.10, 1.12 [Lattice constant only] (Due Sept. 1, 2009). 2. Homework II: Chapter 1, 2: 1.17, 2.1 (a, c) (k = π/a at zone edge), 2.3

More information

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy

Investigation of InP etching mechanisms in a Cl 2 /H 2 inductively coupled plasma by optical emission spectroscopy Investigation of InP etching mechanisms in a 2 / 2 inductively coupled plasma by optical emission spectroscopy L. Gatilova, a S. Bouchoule, b and S. Guilet Laboratoire de Photonique et de Nanostructures

More information

Electron Temperature Modification in Gas Discharge Plasma

Electron Temperature Modification in Gas Discharge Plasma Electron Temperature Modification in Gas Discharge Plasma Valery Godyak University of Michigan and RF Plasma Consulting egodyak@comcast.net Workshop: Control of Distribution Functions in Low Temperature

More information

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters -

Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters - Volume Production of D - Negative Ions in Low-Pressure D 2 Plasmas - Negative Ion Densities versus Plasma Parameters - Osamu Fukumasa and Shigefumi Mori Department of Electrical and Electronic Engineering,

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Subtrac(ve Etching of Cu with Hydrogen- Based Plasmas

Subtrac(ve Etching of Cu with Hydrogen- Based Plasmas Subtrac(ve Etching of Cu with Hydrogen- Based Plasmas Fangyu Wu, Galit Levi1n, Dennis W. Hess School of Chemical & Biomolecular Engineering, Georgia Ins1tute of Technology February 22, 2011 Outline Introduc1on

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Plasma Eray Aydil, UCSB, Mike Lieberman, UCB and David Graves UCB Workshop November 19, 2003 Berkeley, CA 3 Feature Profile Evolution Simulation Eray S. Aydil University

More information

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma Journal of The Electrochemical Society, 147 (5) 1859-1863 (2000) 1859 Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma The Effects of Gas Additives Ji-Myon Lee, Ki-Myung Chang,

More information

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC

Plasma Technology. FLCC Workshop & Review September 13, 2006 FLCC 1 Plasma Technology Professors Jane P. Chang (UCLA), Michael A. Lieberman, David B. Graves (UCB) and Allan J. Lichtenberg, John P. Verboncoeur, Alan Wu, Emi Kawamura, Chengche Hsu, Joe Vegh, Insook Lee

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Etching by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan on Visiting

More information

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston

P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston P. Diomede, D. J. Economou and V. M. Donnelly Plasma Processing Laboratory, University of Houston Acknowledgements: DoE Plasma Science Center, NSF Presented at the 57 th AVS Conference, Albuquerque, NM

More information

Trench filling by ionized metal physical vapor deposition

Trench filling by ionized metal physical vapor deposition Trench filling by ionized metal physical vapor deposition Junqing Lu a) and Mark J. Kushner b) University of Illinois, 1406 W. Green Street, Urbana, Illinois 61801 Received 25 January 2001; accepted 16

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Workshops on X-band and high gradients: collaboration and resource

Workshops on X-band and high gradients: collaboration and resource Workshops on X-band and high gradients: collaboration and resource 25 October 2012 International workshop on breakdown science and high gradient technology 18-20 April 2012 in KEK 25 October 2012 International

More information

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS Mark J. Kushner University of Illinois Department of Electrical and Computer Engineering Urbana, IL 61801 mjk@uiuc.edu December 1998

More information

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation

In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 4 15 AUGUST 2000 In situ electrical characterization of dielectric thin films directly exposed to plasma vacuum-ultraviolet radiation C. Cismaru a) and J. L.

More information

Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas

Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas Production and destruction of CF x radicals in radio-frequency fluorocarbon plasmas M. Haverlag, a) W. W. Stoffels, E. Stoffels, G. M. W. Kroesen, and F. J. de Hoog Department of Physics, Eindhoven University

More information

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595

Dual-RadioFrequency Capacitively-Coupled Plasma Reactors. Tomás Oliveira Fartaria nº58595 Dual-RadioFrequency Capacitively-Coupled Plasma Reactors Tomás Oliveira Fartaria nº58595 Index Capacitive Reactors Dual Frequency Capacitively-Coupled reactors o Apparatus for improved etching uniformity

More information

Reactive Etching and Surface Damage

Reactive Etching and Surface Damage PARTI Reactive Etching and Surface Damage ATOMIC AND MOLECULAR BEAM STUDIES OF ETCHING AND RELATED SURFACE CHEMISTRIES J.W. Coburn IBM Research Division, K33/801, 650 Harry Road, San Jose, CA 95120-6099

More information

Transient Thermal Measurement and Behavior of Integrated Circuits

Transient Thermal Measurement and Behavior of Integrated Circuits Transient Thermal Measurement and Behavior of Integrated Circuits Dustin Kendig¹*, Kazuaki Kazawa 1,2, and Ali Shakouri 2 ¹Microsanj LLC 3287 Kifer Rd, Santa Clara, CA 95051, USA ² Birck Nanotechnology

More information

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL 46 th AVS International Symposium Oct. 25-29, 1999 Seattle, WA INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL Da Zhang* and Mark J. Kushner** *Department of Materials

More information

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS*

CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* CONTROL OF UNIFORMITY IN CAPACITIVELY COUPLED PLASMAS CONSIDERING EDGE EFFECTS* Junqing Lu and Mark J. Kushner Department of Electrical and Computer Engineering at Urbana-Champaign mjk@uiuc.edu, jqlu@uiuc.edu

More information

POSITRON AND POSITRONIUM INTERACTIONS WITH CONDENSED MATTER. Paul Coleman University of Bath

POSITRON AND POSITRONIUM INTERACTIONS WITH CONDENSED MATTER. Paul Coleman University of Bath POSITRON AND POSITRONIUM INTERACTIONS WITH CONDENSED MATTER Paul Coleman University of Bath THE FATE OF POSITRONS IN CONDENSED MATTER POSITRON-SURFACE INTERACTIONS positron backscattering BACKSCATTERED

More information

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004 Bob O'Handley Martin Schmidt Quiz Nov. 17, 2004 Ion implantation, diffusion [15] 1. a) Two identical p-type Si wafers (N a = 10 17 cm

More information

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities

Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 5 1 SEPTEMBER 2000 Diagnostics of inductively coupled chlorine plasmas: Measurement of Cl 2 and Cl densities M. V. Malyshev, a) N. C. M. Fuller, b) K. H. A.

More information

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76 Lecture 15 Etching Chapters 15 & 16 Wolf and Tauber 1/76 Announcements Term Paper: You are expected to produce a 4-5 page term paper on a selected topic (from a list). Term paper contributes 25% of course

More information

Extrel Application Note

Extrel Application Note Extrel Application Note Real-Time Plasma Monitoring and Detection of Trace H 2 O and HF Species in an Argon Based Plasma Jian Wei, 575 Epsilon Drive, Pittsburgh, PA 15238. (Presented at the 191st Electrochemical

More information

Two-electron systems

Two-electron systems Two-electron systems Laboratory exercise for FYSC11 Instructor: Hampus Nilsson hampus.nilsson@astro.lu.se Lund Observatory Lund University September 12, 2016 Goal In this laboration we will make use of

More information

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe

Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe Study of Electronegativity in Inductively Coupled Radio-Frequency Plasma with Langmuir Probe International Training Program Queen s University Belfast Dept. Energy Sciences Tokyo Institute of Technology

More information

Gas utilization in remote plasma cleaning and stripping applications

Gas utilization in remote plasma cleaning and stripping applications Gas utilization in remote plasma cleaning and stripping applications B. E. E. Kastenmeier IBM Semiconductor Research and Development Center, 2070 Rt. 52, Zip E40, Hopewell Junction, New York 12533 G. S.

More information

Application Note GA-301E. MBMS for Preformed Ions. Extrel CMS, 575 Epsilon Drive, Pittsburgh, PA I. SAMPLING A CHEMICAL SOUP

Application Note GA-301E. MBMS for Preformed Ions. Extrel CMS, 575 Epsilon Drive, Pittsburgh, PA I. SAMPLING A CHEMICAL SOUP Application Note MBMS for Preformed Ions, 575 Epsilon Drive, Pittsburgh, PA 15238 (Poster Presented at 45th ASMS Conference on Mass Spectrometry, June 1-5, 1997) In order to accurately characterize a plasma

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

A global (volume averaged) model of a chlorine discharge

A global (volume averaged) model of a chlorine discharge A global (volume averaged) model of a chlorine discharge Eyþór Gísli Þorsteinsson and Jón Tómas Guðmundsson Science Institute, University of Iceland, Iceland Department of Electrical and Computer Engineering,

More information

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma Kallol Bera a, Shahid Rauf a and Ken Collins a a Applied Materials, Inc. 974 E. Arques Ave., M/S 81517, Sunnyvale, CA 9485, USA

More information