Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect.

Size: px
Start display at page:

Download "Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect."

Transcription

1 9- Write D data Load eable A address A select B address B select Load R 2 2 Load Load R R2 UX 2 3 UX Decoder D address 2 Costat i Destiatio select 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime V C N Z Load B select G select 4 Zero Detect F select R3 Bus A D select Bus D UX B UX F F Bus B UX D A data Fuctio uit Register file A B H select A B 2 S 2: C S i B Arithmetic/logic I R Shifter I L uit (ALU) G H B data Address out Data out Data i

2 9-2 Data iput A A A Data iput B A B B B -bit arithmetic/ logic uit (ALU) G G G Data output G Carry iput C i C out Carry output Operatio select S S ode select S 2 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

3 9-3 C i A X B S B iput logic Y -bit parallel adder G X Y C i S C out 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

4 T 9- TABLE 9- Fuctio Table for Arithmetic Circuit Select Iput G = ( A Y C i ) S S Y C i = C i = all s G A (trasfer) G A (icremet) B G A B (add) G A B B G A B G A B (subtract) all s G A (decremet) G A (trasfer) 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

5 9-4 Iputs Output S S B i Y i (a) Truth table S S s B i 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

6 9-5 C i S S C A B X Y FA G C A B X Y FA G C 2 A 2 B 2 X 2 Y 2 FA G 2 C 3 A 3 B 3 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime X 3 Y 3 FA C 4 G 3 C out

7 9-6 S S S S 4-to- UX S S Output Operatio A i B i G i ^^ AND OR XOR NOT 2 (b) Fuctio table 3 (a) Logic diagram 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

8 9-7 C C i C i C i C i A i B i S S A i B i S S Oe stage of arithmetic circuit 2-to- UX G A i S C i B i S Oe stage of logic circuit S S 2 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

9 T 9-2 TABLE 9-2 Fuctio Table for ALU Operatio Select S 2 S S C i Operatio Fuctio G A Trasfer A G A Icremet A G A B Additio G A B Add with carry iput of G A B A plus s complemet of B G A B Subtractio G A Decremet A G A Trasfer A X G A B AND X G A B OR X G A B XOR X G A NOT (s complemet) 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

10 9-8 B 3 B 2 B B Serial output L I R Serial output R I L S 2 U X S 2 U X S 2 U X S 2 U X S 2 H 3 H 2 H H 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

11 T 9-3 TABLE 9-3 Fuctio Table for 4-Bit Barrel Shifter Select Output S S Y 3 Y 2 Y Y Operatio D 3 D 2 D D No rotatio D 2 D D D 3 Rotate oe positio D D D 3 D 2 Rotate two positios D D 3 D 2 D Rotate three positios 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

12 9-9 D 3 D 2 D D S S 3 2 S S 3 S S U X 2 3 S S U X 2 3 S S 2 U X U X Y 3 Y 2 Y Y 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

13 9- m Write D address D data 2 m Register file m A address B address m A data B data Costat i B select UX B Bus A Bus B Address out Data out FS 4 A B V C N Fuctio uit Z F Data i 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime D select UX D

14 T 9-4 TABLE 9-4 G Select, H Select, ad F Select Codes Defied i Terms of FS Codes FS(3:) F Select G Select(3:) H Select(3:) icrooperatio XX XX XX XX XX XX XX XX X XX X XX X XX X XX XXXX XXXX XXXX F A F A F A B F A B F A B F A B F A F A F A B F A B F A B F A F B F sr B F sl B 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

15 9- RW Write D data 5 DA 4 3 D address 2 AA A address A data B address B data BA Costat i B 6 Bus A UX B Bus B Address out Data out A B V C N Z Fuctio uit 5 4 FS 3 2 Data i D UX D Bus D (a) Block diagram Pearso Educatio, Ic.. orris ao & Charles R. Kime DA AA BA B (b) Cotrol word FS D R W

16 T 9-5 TABLE 9-5 Ecodig of Cotrol Word for the Datapath DA, AA, BA B FS D RW Fuctio Code Fuctio Code Fuctio Code Fuctio Code Fuctio Code R Register F A Fuctio No Write R Costat F A Data i Write R2 F A B R3 F A B R4 F A B R5 F A B R6 F A R7 F A F A B F A B F A B F A F B F sr B F sl B 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

17 T 9-6 TABLE 9-6 Examples of icrooperatios for the Datapath, Usig Symbolic Notatio icrooperatio DA AA BA B FS D RW R R2 R3 R R2 R3 Register F A B Fuctio Write R4 sl R6 R4 R6 Register F sl B Fuctio Write R7 R7 R7 R7 F A Fuctio Write R R 2 R R Costat F A B Fuctio Write Data out R3 R3 Register No Write R4 Data i R4 Data i Write R5 R5 R R Register F A B Fuctio Write 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

18 T 9-7 TABLE 9-7 Examples of icrooperatios from Table 9-6, Usig Biary Cotrol Words icrooperatio DA AA BA B FS D RW R R2 R3 R4 sl R6 R7 R7 R R 2 Data out R3 R4 Data i R5 XXX XXX X XXX XXX XXX XXXX X XXX XXX X XXXX 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

19 9-2 Clock DA AA BA FS Pearso Educatio, Ic.. orris ao & Charles R. Kime Status_bits Costat_i X 2 B Address_out Data_out Data_i D RW reg reg reg2 reg3 reg4 reg5 reg6 reg X X

20 9-3 Program couter (PC) Istructio memory Register file 8 6 Data memory Pearso Educatio, Ic.. orris ao & Charles R. Kime

21 Opcode Destiatio register (DR) Source register A (SA) Source register B (SB) (a) Register Opcode Destiatio register (DR) Source register A (SA) Operad (OP) (b) Immediate Opcode Address (AD) (Left) Source register A (SA) Address (AD) (Right) (c) Jump ad Brach 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

22 T 9-8 TABLE 9-8 Istructio Specificatios for the Simple Computer Istructio Opcode emoic Format Descriptio Status Bits ove A OVA RD, RA R[DR] R[SA]* N, Z Icremet INC RD, RA R[DR] R[SA] + * N, Z Add ADD RD, RA, RB R[DR] R[SA] + R[SB]* N, Z Subtract SUB RD, RA, RB R[DR] R[SA] R[SB]* N, Z Decremet DEC RD, RA R[DR] R[SA] * N, Z AND AND RD, RA, RB R[DR] R[SA] R[SB]* N, Z OR OR RD, RA, RB R[DR] R[SA] R[SB]* N, Z Exclusive OR XOR RD, RA, RB R[DR] R[SA] R[SB]* N, Z NOT NOT RD, RA R[DR] R[ SA] * N, Z ove B OVB RD, RB R[DR] R[SB]* Shift Right SHR RD, RB R[DR] sr R[SB]* Shift Left SHL RD, RB R[DR] sl R[SB]* Load Immediate LDI RD, OP R[DR] zf OP* Add Immediate ADI RD, RA, OP R[DR] R[SA] + zf OP* N, Z Load LD RD, RA R[DR] [SA]* Store ST RA, RB [SA] R[SB]* Brach o Zero BRZ RA, AD if (R[SA] = ) PC PC + se AD, N, Z if (R[SA] ) PC PC + Brach o BRN RA, AD if (R[SA] < ) PC PC + se AD, N, Z Negative if (R[SA] ) PC PC + Jump JP RA PC R[SA] * For all of these istructios, PC PC + is also executed to prepare for the ext cycle. 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

23 T 9-9 TABLE 9-9 emory Represetatio of Istructios ad Data Decimal Address emory Cotets Decimal Opcode Other Fields Operatio 25 5 (Subtract) DR:, SA:2, SB:3 R R2 R (Store) SA:4, SB:5 [R4] R (Add Immediate) DR:2, SA:7, OP:3 R2 R (Brach o Zero) AD: 44, SA:6 If R6 =, PC PC 2 7 Data = 92. After executio of istructio i 35, Data = Pearso Educatio, Ic.. orris ao & Charles R. Kime

24 9-5 V C N Z Brach Cotrol PC Exted IR(8:6) IR(2:) Jump Address J L P B B C Address Istructio memory Istructio RW DA AA D Register file A B BA D A B A A A Istructio decoder B F S D R W W IR(2:) L P J B Zero fill B C CONTROL Costat i FS V C N Z Bus A A UX B Bus B Fuctio uit F B B Address out Data out Data i W Data i Address Data memory Data out 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime Bus D D UX D DATAPATH

25 9-6 Istructio Opcode DR SA SB DA AA BA B FS D RW W PL JB BC 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime Cotrol word

26 T 9- TABLE 9- Truth Table for Istructio Decoder Logic Istructio Bits Cotrol Word Bits Istructio Fuctio Type B D RW W PL JB BC Fuctio-uit operatios usig registers X X X emory read X X X emory write X X X X Fuctio-uit operatios usig register ad costat X X X Coditioal brach o zero (Z) X X Coditioal brach o egative (N) X X Ucoditioal jump X X X X 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

27 T 9- TABLE 9- Six Istructios for the Sigle-Cycle Computer Operatio Code Symbolic Name Format Descriptio Fuctio B D RW W PL JB BC ADI Immediate Add immediate operad LD Register Load memory cotet ito register ST Register Store register cotet i memory SL Register Shift left NOT Register Complemet register BRZ Jump/Brach If R[SA] =, brach to PC + se AD R[ DR] R[ SA] zf I(2:) R[ DR] [ R[ SA] ] [ R[ SA] ] R[ SB] R[ DR] sl R[ SB] R[ DR] R[ SA] If R[SA] =, PC PC se AD If R[SA], PC PC 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

28 9-7 PC.2 s Istructio memory 4 s Register file (Read).6 s UX B.2 s Fuctio uit or Data memory 4 s UX D.2 s 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime Register file.6 s (Write)

29 9-8 PS 2 PC Exted 28 Pearso Educatio, Ic CONTROL. orris ao & Charles R. Kime 4 IL 4 Cotrol State 4 6 IR Opcode DR SA SB Cotrol Logic N S P S I L D X A X B X B F S D W R W Sequece Datapath cotrol cotrol 4 DR SA SB Zero fill FS 4 DATAPATH RW 3 Register 4 address 3 logic AX BX DX 4 V C N Z Bus A D Bus D DA 6 6 Register file AA A B BA A UX B Fuctio uit F D B Bus B UX Data W Address out out B Data i Address UX D emory Data out Data i

30 NS PS I L DX AX BX FS B D R W W 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

31 T 9-2 TABLE 9-2 Cotrol-Word Iformatio for Datapath DX AX BX Code B Code FS Code D RW W Code R[DR] R[SA] R[SB] XXX Register F A FUt No Write Address out No Write R8 R8 R8 Costat F A Data i Write PC Write R9 R9 R9 F A B R R R Uused R R R Uused R2 R2 R2 F A B R3 R3 R3 F A R4 R4 R4 Uused R5 R5 R5 F A B F A B F F F F F A A B sr B sl B B Uused 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

32 T 9-3 TABLE 9-3 Cotrol Iformatio for Sequece Cotrol NS PS IL Next State Actio Code Actio Code Gives ext state of cotrol state register Hold PC No load Ic PC Load IR Brach Jump 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

33 9-2 PC PC + Σ trasitio coditios o merged arcs INF IR [PC] R[DR] R[DR] R[DR] R[SA] R[DR] R[SA] + R[SA] + R[SB] R[SA] + R[SB] + R[DR] R[SA] R[DR] R[SA] R[SB] R[DR] R[SA] R[SB] R[DR] R[DR] R[SA] R[SB] R[SA] Opcode = Opcode = R[DR] R[DR] EX [R[SA]] R[DR] R[SB] [R[SA]] zf OP R[SB] R[DR] R[SA] + zf OP Z Z PC PC se AD N PC PC se AD N PC R[SA] 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

34 T 9-4 TABLE 9-4 State Table for Two-Cycle Istructios State Opcode Iputs VCNZ Next State I L Outputs P S DX AX BX B FS D R W W Commets INF XXXXXXX XXXX EX XXXX XXXX XXXX X XXXX X IR [PC] EX XXXX INF XXX XXX XXXX X X OVA R[DR] R[SA]* EX XXXX INF XXX XXX XXXX X X INC R[DR] R[SA] + * EX XXXX INF XXX XXX XXX X ADD R[DR] R[SA] + R[SB]* EX XXXX INF XXX XXX XXX X SUB R[DR} R[SA] + R[ SB] + * EX XXXX INF XXX XXX XXXX X X DEC R[DR] R[SA] + ( )* EX XXXX INF XXX XXX XXX X AND R[DR] R[SA] R[SB]* EX XXXX INF XXX XXX XXX X OR R[DR] R[SA] R[SB]* EX XXXX INF XXX XXX XXX X XOR R[DR] R[SA] R[SB]* EX XXXX INF XXX XXX XXXX X X NOT R[DR] R[ SA] * EX XXXX INF XXX XXXX XXX X OVB R[DR] R[SB]* EX XXXX INF XXX XXX XXXX X XXXX LD R[DR] [R[SA]]* EX XXXX INF XXXX XXX XXX XXXX X ST [R[SA]] R[SB]* EX XXXX INF XXX XXXX XXXX LDI R[DR] zf OP* EX XXXX INF XXX XXX XXXX ADI R[DR] R[SA] + zf OP* EX XXX INF XXXX XXX XXXX X X BRZ PC PC + se AD EX XXX INF XXXX XXX XXXX X X BRZ PC PC + EX XXX INF XXXX XXX XXXX X X BRN PC PC + se AD EX XXX INF XXXX XXX XXXX X X BRN PC PC + EX XXXX INF XXXX XXX XXXX X X JP PC R[SA] * For this state ad iput combiatio, PC PC + also occurs. 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

35 9-2 From INF EX Opcode = R8 [R[SA]] EX Opcode = R[DR] [R8], PC PC To INF 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

36 9-22 From INF EX Z ((Opcode = ) + (Opcode = )) EX2 Z ((Opcode = ) + (Opcode = )) PC PC Opcode = R8 R8 sr R8 sl R8 R8 Z ((Opcode = ) + (Opcode = )) Opcode = Z ((Opcode = ) + (Opcode = )) R9 R9 R[SA] EX R9 EX3 zf OP PC PC Z ((Opcode = ) + (Opcode = )) Z ((Opcode = ) + (Opcode = )) EX4 (Opcode = ) + (Opcode = ) R[DR] R8, PC PC 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime To INF

37 T 9-5 TABLE 9-5 State Table for Illustratio of Istructios Havig Three or ore Cycles State Opcode Iputs VCNZ Next state Outputs I L PS DX AX BX B FS D RW W Commets EX XXXX EX XXX XXXX X X LRI R8 [R[SA]], EX EX XXXX INF XXX XXXX X X LRI R[DR] [R8], INF* EX XXX EX XXX XXXX X X SR R8 R[SA], Z: EX EX XXX INF XXX XXXX X X SR R8 R[SA], Z: INF* EX XXX EX2 XXXX XXXX X SR R9 zf OP, Z: EX2 EX XXX INF XXXX XXXX X SR R9 zf OP, Z: INF* EX2 XXXX EX3 XXXX X SR R8 sr R8, EX3 EX3 XXX EX2 XXXX X X SR R9 R9, Z: EX2 EX3 XXX EX4 XXXX X X SR R9 R9, Z: EX4 EX4 XXXX INF XXX XXXX X X SR R[DR] R8, INF* EX XXX EX XXX XXXX X X SL R8 R[SA], Z: EX EX XXX INF XXX XXXX X X SL R8 R[SA], Z: INF* EX XXX EX2 XXXX XXXX X SL R9 zf OP, Z: EX2 EX XXX INF XXXX XXXX X SL R9 zf OP, Z: INF* EX2 XXXX EX3 XXXX X SL R8 sl R8, EX3 EX3 XXX EX2 XXXX X X SL R9 R9, Z: EX2 EX3 XXX EX4 XXXX X X SL R9 R9, Z: EX4 EX4 XXXX INF XXX XXXX X X SL R[DR] R8, IF* *For this state ad iput combiatio, PC PC + also occurs. 28 Pearso Educatio, Ic.. orris ao & Charles R. Kime

Fig. 7-6 Single Bus versus Dedicated Multiplexers

Fig. 7-6 Single Bus versus Dedicated Multiplexers 7- Select SSS2 LLL2 LLL2 S 2 to MUX R R Select S 2 to MUX R S S 3 to MUX 2 us R S 2 to MUX R2 R2 (a) Dedicated multiplexers (b) Sigle us Fig. 7-6 Sigle us versus Dedicated Multiplexers 2 Pretice Hall,

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig asics Part Datapaths Charles Kime & Thomas Kamiski 008 Pearso Educatio, Ic. (Hyperliks are active i View Show mode) Overview Part Datapaths Itroductio

More information

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig Basics Part 1 Datapaths Overview Part 1 Datapaths Itroductio Datapath Example Arithmetic Logic Uit (ALU) Shifter Datapath Represetatio Cotrol

More information

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference)

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference) ECE 3401 Lecture 23 Pipeline Design Control State Register Combinational Control Logic New/ Modified Control Word ISA: Instruction Specifications (for reference) P C P C + 1 I N F I R M [ P C ] E X 0 PC

More information

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5.

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5. CHPTER 9 2008 Pearson Education, Inc. 9-. log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C 7 Z = F 7 + F 6 + F 5 + F 4 + F 3 + F 2 + F + F 0 N = F 7 9-3.* = S + S = S + S S S S0 C in C 0 dder

More information

Generic datapath. Generic datapath architecture. Register file. Register file. Calcolatori Elettronici e Sistemi Operativi.

Generic datapath. Generic datapath architecture. Register file. Register file. Calcolatori Elettronici e Sistemi Operativi. alcolatori Elettroici e Sistei Operativi Geeric datapath architecture Set of registers Geeric datapath uber, size. addressig R[0], R[1],... Set of operatios arithetic, logic, shift Addressig (uber of operads,

More information

CHAPTER XI DATAPATH ELEMENTS

CHAPTER XI DATAPATH ELEMENTS CHAPTER XI- CHAPTER XI CHAPTER XI READ REE-DOC ON COURSE WEBPAGE CHAPTER XI-2 INTRODUCTION -INTRODUCTION So far we have discussed may small compoets ad buildig blocks. Oe fial step i our buildig blocks

More information

Structure of a Typical Digital System Data Inputs

Structure of a Typical Digital System Data Inputs ecture RT Desig Methodology Trasitio from the & Iterface to a Correspodig Block Diagram Structure of a Typical Digital System Data Iputs Datapath (Executio Uit) Data Outputs Cotrol Sigals Status Sigals

More information

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations:

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations: EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig Arithmetic Biary Additio Complemet forms Subtractio Multiplicatio Overview Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units rithmetic rcuits (art I) Rady H. Katz Uiversity of Califoria, erkeley otivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs Doig thigs fast requires more logic

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007 rithmetic Circuits (Part I) Rady H. Katz Uiversity of Califoria, erkeley prig 27 Lecture #23: rithmetic Circuits- Motivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs

More information

Verilog HDL:Digital Design and Modeling. Chapter 11. Additional Design Examples. Additional Figures

Verilog HDL:Digital Design and Modeling. Chapter 11. Additional Design Examples. Additional Figures Chapter Additional Design Examples Verilog HDL:Digital Design and Modeling Chapter Additional Design Examples Additional Figures Chapter Additional Design Examples 2 Page 62 a b y y 2 y 3 c d e f Figure

More information

CPU DESIGN The Single-Cycle Implementation

CPU DESIGN The Single-Cycle Implementation CSE 202 Computer Organization CPU DESIGN The Single-Cycle Implementation Shakil M. Khan (adapted from Prof. H. Roumani) Dept of CS & Eng, York University Sequential vs. Combinational Circuits Digital circuits

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig MUXs, Ecoders, Decoders Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview of Ecoder ad Decoder MUX Gate

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

CMP 334: Seventh Class

CMP 334: Seventh Class CMP 334: Seventh Class Performance HW 5 solution Averages and weighted averages (review) Amdahl's law Ripple-carry adder circuits Binary addition Half-adder circuits Full-adder circuits Subtraction, negative

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

Digital Techniques. Figure 1: Block diagram of digital computer. Processor or Arithmetic logic unit ALU. Control Unit. Storage or memory unit

Digital Techniques. Figure 1: Block diagram of digital computer. Processor or Arithmetic logic unit ALU. Control Unit. Storage or memory unit Digital Techniques 1. Binary System The digital computer is the best example of a digital system. A main characteristic of digital system is its ability to manipulate discrete elements of information.

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

Computer Engineering Department. CC 311- Computer Architecture. Chapter 4. The Processor: Datapath and Control. Single Cycle

Computer Engineering Department. CC 311- Computer Architecture. Chapter 4. The Processor: Datapath and Control. Single Cycle Computer Engineering Department CC 311- Computer Architecture Chapter 4 The Processor: Datapath and Control Single Cycle Introduction The 5 classic components of a computer Processor Input Control Memory

More information

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation Overview EEC 5 Compoets ad Desig Techiques for Digital ystems Lec 5 dditio, ubtractio, ad Negative Numbers David Culler Electrical Egieerig ad Computer cieces Uiversity of Califoria, erkeley Recall basic

More information

Processor Design & ALU Design

Processor Design & ALU Design 3/8/2 Processor Design A. Sahu CSE, IIT Guwahati Please be updated with http://jatinga.iitg.ernet.in/~asahu/c22/ Outline Components of CPU Register, Multiplexor, Decoder, / Adder, substractor, Varity of

More information

Number System. Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary

Number System. Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary Number System Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary BOOLEAN ALGEBRA BOOLEAN LOGIC OPERATIONS Logical AND Logical OR Logical COMPLEMENTATION

More information

Basic Computer Organization and Design Part 3/3

Basic Computer Organization and Design Part 3/3 Basic Computer Organization and Design Part 3/3 Adapted by Dr. Adel Ammar Computer Organization Interrupt Initiated Input/Output Open communication only when some data has to be passed --> interrupt. The

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Simple Processor CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Digital

More information

EC 413 Computer Organization

EC 413 Computer Organization EC 413 Computer Organization rithmetic Logic Unit (LU) and Register File Prof. Michel. Kinsy Computing: Computer Organization The DN of Modern Computing Computer CPU Memory System LU Register File Disks

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control Logic and Computer Design Fundamentals Chapter 8 Sequencing and Control Datapath and Control Datapath - performs data transfer and processing operations Control Unit - Determines enabling and sequencing

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Subtractors

Introduction to Digital Logic Missouri S&T University CPE 2210 Subtractors Introduction to Digital Logic Missouri S&T University CPE 2210 Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and Technology cetinkayae@mst.edu

More information

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates.

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates. Chapter 4: Sequetial Logic ( copyright by aiel Seider) Util ow we discussed circuits that are combiatioal. This meas that their outputs were fuctios of the iputs, ad oly the iputs, at all times. For each

More information

CPS 104 Computer Organization and Programming Lecture 11: Gates, Buses, Latches. Robert Wagner

CPS 104 Computer Organization and Programming Lecture 11: Gates, Buses, Latches. Robert Wagner CPS 4 Computer Organization and Programming Lecture : Gates, Buses, Latches. Robert Wagner CPS4 GBL. RW Fall 2 Overview of Today s Lecture: The MIPS ALU Shifter The Tristate driver Bus Interconnections

More information

Philadelphia University Student Name: Student Number:

Philadelphia University Student Name: Student Number: Philadelphia University Student Name: Student Number: Faculty of Engineering Serial Number: Final Exam, First Semester: 2017/2018 Dept. of Computer Engineering Course Title: Logic Circuits Date: 29/01/2018

More information

Logic Design. CS 270: Mathematical Foundations of Computer Science Jeremy Johnson

Logic Design. CS 270: Mathematical Foundations of Computer Science Jeremy Johnson Logic Deign CS 270: Mathematical Foundation of Computer Science Jeremy Johnon Logic Deign Objective: To provide an important application of propoitional logic to the deign and implification of logic circuit.

More information

/ M Morris Mano Digital Design Ahmad_911@hotmailcom / / / / wwwuqucscom Binary Systems Introduction - Digital Systems - The Conversion Between Numbering Systems - From Binary To Decimal - Octet To Decimal

More information

L07-L09 recap: Fundamental lesson(s)!

L07-L09 recap: Fundamental lesson(s)! L7-L9 recap: Fundamental lesson(s)! Over the next 3 lectures (using the IPS ISA as context) I ll explain:! How functions are treated and processed in assembly! How system calls are enabled in assembly!

More information

Number Representation

Number Representation Number Represetatio 1 Number System :: The Basics We are accustomed to usig the so-called decimal umber system Te digits :: 0,1,2,3,4,5,6,7,8,9 Every digit positio has a weight which is a power of 10 Base

More information

Combinational Logic. By : Ali Mustafa

Combinational Logic. By : Ali Mustafa Combinational Logic By : Ali Mustafa Contents Adder Subtractor Multiplier Comparator Decoder Encoder Multiplexer How to Analyze any combinational circuit like this? Analysis Procedure To obtain the output

More information

Practice Homework Solution for Module 4

Practice Homework Solution for Module 4 Practice Homework Solution for Module 4 1. Tired of writing the names of those you want kicked off the island on cards, you wish to modernize the voting scheme used on Digital Survivor. Specifically, you

More information

Enrico Nardelli Logic Circuits and Computer Architecture

Enrico Nardelli Logic Circuits and Computer Architecture Enrico Nardelli Logic Circuits and Computer Architecture Appendix B The design of VS0: a very simple CPU Rev. 1.4 (2009-10) by Enrico Nardelli B - 1 Instruction set Just 4 instructions LOAD M - Copy into

More information

Name: ID# a) Complete the state transition table for the aforementioned circuit

Name:   ID# a) Complete the state transition table for the aforementioned circuit UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences EECS150 Fall 2001 Prof. Subramanian Final Examination 1) You are to design a sequential circuit with two JK FFs A and

More information

2

2 Computer System AA rc hh ii tec ture( 55 ) 2 INTRODUCTION ( d i f f e r e n t r e g i s t e r s, b u s e s, m i c r o o p e r a t i o n s, m a c h i n e i n s t r u c t i o n s, e t c P i p e l i n e E

More information

Department of Electrical and Computer Engineering The University of Texas at Austin

Department of Electrical and Computer Engineering The University of Texas at Austin Department of Electrical and Computer Engineering The University of Texas at Austin EE 360N, Fall 2004 Yale Patt, Instructor Aater Suleman, Huzefa Sanjeliwala, Dam Sunwoo, TAs Exam 1, October 6, 2004 Name:

More information

UNIVERSITY OF WISCONSIN MADISON

UNIVERSITY OF WISCONSIN MADISON CS/ECE 252: INTRODUCTION TO COMPUTER ENGINEERING UNIVERSITY OF WISCONSIN MADISON Prof. Gurindar Sohi TAs: Minsub Shin, Lisa Ossian, Sujith Surendran Midterm Examination 2 In Class (50 minutes) Friday,

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

ALU (3) - Division Algorithms

ALU (3) - Division Algorithms HUMBOLDT-UNIVERSITÄT ZU BERLIN INSTITUT FÜR INFORMATIK Lecture 12 ALU (3) - Division Algorithms Sommersemester 2002 Leitung: Prof. Dr. Miroslaw Malek www.informatik.hu-berlin.de/rok/ca CA - XII - ALU(3)

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT2: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 4 Following the slides of Dr. Ahmed H. Madian محرم 439 ه Winter 28

More information

Computer Science. Questions for discussion Part II. Computer Science COMPUTER SCIENCE. Section 4.2.

Computer Science. Questions for discussion Part II. Computer Science COMPUTER SCIENCE. Section 4.2. COMPUTER SCIENCE S E D G E W I C K / W A Y N E PA R T I I : A L G O R I T H M S, T H E O R Y, A N D M A C H I N E S Computer Science Computer Science An Interdisciplinary Approach Section 4.2 ROBERT SEDGEWICK

More information

ECE 308 Discrete-Time Signals and Systems

ECE 308 Discrete-Time Signals and Systems ECE 38-5 ECE 38 Discrete-Time Sigals ad Systems Z. Aliyazicioglu Electrical ad Computer Egieerig Departmet Cal Poly Pomoa ECE 38-5 1 Additio, Multiplicatio, ad Scalig of Sequeces Amplitude Scalig: (A Costat

More information

Lecture 4 Modeling, Analysis and Simulation in Logic Design. Dr. Yinong Chen

Lecture 4 Modeling, Analysis and Simulation in Logic Design. Dr. Yinong Chen Lecture 4 Modeling, Analysis and Simulation in Logic Design Dr. Yinong Chen The Engineering Design Process Define Problem and requirement Research Define Alternative solutions CAD Modeling Analysis Simulation

More information

Review. Combined Datapath

Review. Combined Datapath Review Topics:. A single cycle implementation 2. State Diagrams. A mltiple cycle implementation COSC 22: Compter Organization Instrctor: Dr. Amir Asif Department of Compter Science York University Handot

More information

A Second Datapath Example YH16

A Second Datapath Example YH16 A Second Datapath Example YH16 Lecture 09 Prof. Yih Huang S365 1 A 16-Bit Architecture: YH16 A word is 16 bit wide 32 general purpose registers, 16 bits each Like MIPS, 0 is hardwired zero. 16 bit P 16

More information

CSEE 3827: Fundamentals of Computer Systems. Combinational Circuits

CSEE 3827: Fundamentals of Computer Systems. Combinational Circuits CSEE 3827: Fundamentals of Computer Systems Combinational Circuits Outline (M&K 3., 3.3, 3.6-3.9, 4.-4.2, 4.5, 9.4) Combinational Circuit Design Standard combinational circuits enabler decoder encoder

More information

Problem 01 X Y. Logic Testbank. Problem. Problems. Problem 1:

Problem 01 X Y. Logic Testbank. Problem. Problems. Problem 1: This documet was created by me but that does t mea that I ow this cotet, so I m just sharig it like ayoe else would do, good luck - Sa'eed wad roblems roblem 01 roblem Logic Testbak roblem 1: 4-to-1 MUX,

More information

Hakim Weatherspoon CS 3410 Computer Science Cornell University

Hakim Weatherspoon CS 3410 Computer Science Cornell University Hakim Weatherspoon CS 3410 Computer Science Cornell University The slides are the product of many rounds of teaching CS 3410 by Professors Weatherspoon, Bala, Bracy, and Sirer. memory inst 32 register

More information

7. Modern Techniques. Data Encryption Standard (DES)

7. Modern Techniques. Data Encryption Standard (DES) 7. Moder Techiques. Data Ecryptio Stadard (DES) The objective of this chapter is to illustrate the priciples of moder covetioal ecryptio. For this purpose, we focus o the most widely used covetioal ecryptio

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Instructor: Mohsen Imani UC San Diego Slides from: Prof.Tajana Simunic Rosing

More information

CS 140 Lecture 14 Standard Combinational Modules

CS 140 Lecture 14 Standard Combinational Modules CS 14 Lecture 14 Standard Combinational Modules Professor CK Cheng CSE Dept. UC San Diego Some slides from Harris and Harris 1 Part III. Standard Modules A. Interconnect B. Operators. Adders Multiplier

More information

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr.

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr. Chapter 4 Dr. Panos Nasiopoulos Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. Sequential: In addition, they include storage elements Combinational

More information

3. Combinational Circuit Design

3. Combinational Circuit Design CSEE 3827: Fundamentals of Computer Systems, Spring 2 3. Combinational Circuit Design Prof. Martha Kim (martha@cs.columbia.edu) Web: http://www.cs.columbia.edu/~martha/courses/3827/sp/ Outline (H&H 2.8,

More information

CSE Computer Architecture I

CSE Computer Architecture I Execution Sequence Summary CSE 30321 Computer Architecture I Lecture 17 - Multi Cycle Control Michael Niemier Department of Computer Science and Engineering Step name Instruction fetch Instruction decode/register

More information

Project Two RISC Processor Implementation ECE 485

Project Two RISC Processor Implementation ECE 485 Project Two RISC Processor Implementation ECE 485 Chenqi Bao Peter Chinetti November 6, 2013 Instructor: Professor Borkar 1 Statement of Problem This project requires the design and test of a RISC processor

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

Digital Design. Register Transfer Specification And Design

Digital Design. Register Transfer Specification And Design Principles Of Digital Design Chapter 8 Register Transfer Specification And Design Chapter preview Boolean algebra 3 Logic gates and flip-flops 3 Finite-state machine 6 Logic design techniques 4 Sequential

More information

CSE140: Components and Design Techniques for Digital Systems. Decoders, adders, comparators, multipliers and other ALU elements. Tajana Simunic Rosing

CSE140: Components and Design Techniques for Digital Systems. Decoders, adders, comparators, multipliers and other ALU elements. Tajana Simunic Rosing CSE4: Components and Design Techniques for Digital Systems Decoders, adders, comparators, multipliers and other ALU elements Tajana Simunic Rosing Mux, Demux Encoder, Decoder 2 Transmission Gate: Mux/Tristate

More information

Fundamentals of Digital Design

Fundamentals of Digital Design Fundamentals of Digital Design Digital Radiation Measurement and Spectroscopy NE/RHP 537 1 Binary Number System The binary numeral system, or base-2 number system, is a numeral system that represents numeric

More information

BOOLEAN ALGEBRA. Introduction. 1854: Logical algebra was published by George Boole known today as Boolean Algebra

BOOLEAN ALGEBRA. Introduction. 1854: Logical algebra was published by George Boole known today as Boolean Algebra BOOLEAN ALGEBRA Introduction 1854: Logical algebra was published by George Boole known today as Boolean Algebra It s a convenient way and systematic way of expressing and analyzing the operation of logic

More information

[2] Predicting the direction of a branch is not enough. What else is necessary?

[2] Predicting the direction of a branch is not enough. What else is necessary? [2] When we talk about the number of operands in an instruction (a 1-operand or a 2-operand instruction, for example), what do we mean? [2] What are the two main ways to define performance? [2] Predicting

More information

3. (2) What is the difference between fixed and hybrid instructions?

3. (2) What is the difference between fixed and hybrid instructions? 1. (2 pts) What is a "balanced" pipeline? 2. (2 pts) What are the two main ways to define performance? 3. (2) What is the difference between fixed and hybrid instructions? 4. (2 pts) Clock rates have grown

More information

Multiplexers Decoders ROMs (LUTs) Page 1

Multiplexers Decoders ROMs (LUTs) Page 1 Multiplexers Decoders ROMs (LUTs) Page A Problem Statement Design a circuit which will select between two inputs (A and B) and pass the selected one to the output (Q). The desired circuit is called a multiplexer

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - II Combinational Logic Adders subtractors code converters binary parallel adder decimal adder magnitude comparator encoders decoders multiplexers demultiplexers-binarymultiplier Parity generator

More information

Spiral 2-1. Datapath Components: Counters Adders Design Example: Crosswalk Controller

Spiral 2-1. Datapath Components: Counters Adders Design Example: Crosswalk Controller 2-. piral 2- Datapath Components: Counters s Design Example: Crosswalk Controller 2-.2 piral Content Mapping piral Theory Combinational Design equential Design ystem Level Design Implementation and Tools

More information

Problem Set # 5 Solutions

Problem Set # 5 Solutions MIT./8.4/6.898/8.435 Quatum Iformatio Sciece I Fall, 00 Sam Ocko October 5, 00 Problem Set # 5 Solutios. Most uitar trasforms are hard to approimate. (a) We are dealig with boolea fuctios that take bits

More information

Menu. Excitation Tables (Bonus Slide) EEL3701 EEL3701. Registers, RALU, Asynch, Synch

Menu. Excitation Tables (Bonus Slide) EEL3701 EEL3701. Registers, RALU, Asynch, Synch Menu Registers >Storage Registers >Shift Registers More LSI Components >Arithmetic-Logic Units (ALUs) > Carry-Look-Ahead Circuitry (skip this) Asynchronous versus Synchronous Look into my... 1 Excitation

More information

1. Linearization of a nonlinear system given in the form of a system of ordinary differential equations

1. Linearization of a nonlinear system given in the form of a system of ordinary differential equations . Liearizatio of a oliear system give i the form of a system of ordiary differetial equatios We ow show how to determie a liear model which approximates the behavior of a time-ivariat oliear system i a

More information

Implementing the Controller. Harvard-Style Datapath for DLX

Implementing the Controller. Harvard-Style Datapath for DLX 6.823, L6--1 Implementing the Controller Laboratory for Computer Science M.I.T. http://www.csg.lcs.mit.edu/6.823 6.823, L6--2 Harvard-Style Datapath for DLX Src1 ( j / ~j ) Src2 ( R / RInd) RegWrite MemWrite

More information

Boolean algebra. Examples of these individual laws of Boolean, rules and theorems for Boolean algebra are given in the following table.

Boolean algebra. Examples of these individual laws of Boolean, rules and theorems for Boolean algebra are given in the following table. The Laws of Boolean Boolean algebra As well as the logic symbols 0 and 1 being used to represent a digital input or output, we can also use them as constants for a permanently Open or Closed circuit or

More information

Function of Combinational Logic ENT263

Function of Combinational Logic ENT263 Function of Combinational Logic ENT263 Chapter Objectives Distinguish between half-adder and full-adder Use BCD-to-7-segment decoders in display systems Apply multiplexer in data selection Use decoders

More information

Design. Dr. A. Sahu. Indian Institute of Technology Guwahati

Design. Dr. A. Sahu. Indian Institute of Technology Guwahati CS222: Processor Design: Multi Cycle Design Dr. A. Sahu Dept of Comp. Sc. & Engg. Indian Institute of Technology Guwahati Mid Semester Exam Multi Cycle design Outline Clock periods in single cycle and

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

Shift Register Counters

Shift Register Counters Shift Register Counters Shift register counter: a shift register with the serial output connected back to the serial input. They are classified as counters because they give a specified sequence of states.

More information

Review for Final Exam

Review for Final Exam CSE140: Components and Design Techniques for Digital Systems Review for Final Exam Mohsen Imani CAPE Please submit your evaluations!!!! RTL design Use the RTL design process to design a system that has

More information

Logic and Boolean algebra

Logic and Boolean algebra Computer Mathematics Week 7 Logic and Boolean algebra College of Information Science and Engineering Ritsumeikan University last week coding theory channel coding information theory concept Hamming distance

More information

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010 EE 224: INTROUCTION TO IGITAL CIRCUITS & COMPUTER ESIGN Lecture 6: Sequential Logic 3 Registers & Counters 05/10/2010 Avinash Kodi, kodi@ohio.edu Introduction 2 A Flip-Flop stores one bit of information

More information

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines 6. Lecture 6 Today:.Blockig vs. o-blockig assigmets 2.Sigle clock sychroous circuits 3.Fiite State Machies 6. Fall 25 Lecture 6, Slide I. Blockig vs. Noblockig Assigmets Coceptual eed for two kids of assigmet

More information

Figure 4.9 MARIE s Datapath

Figure 4.9 MARIE s Datapath Term Control Word Microoperation Hardwired Control Microprogrammed Control Discussion A set of signals that executes a microoperation. A register transfer or other operation that the CPU can execute in

More information

Computer Science. 19. Combinational Circuits. Computer Science COMPUTER SCIENCE. Section 6.1.

Computer Science. 19. Combinational Circuits. Computer Science COMPUTER SCIENCE. Section 6.1. COMPUTER SCIENCE S E D G E W I C K / W A Y N E PA R T I I : A L G O R I T H M S, M A C H I N E S, a n d T H E O R Y Computer Science Computer Science An Interdisciplinary Approach Section 6.1 ROBERT SEDGEWICK

More information

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review Ref: Staley. White, pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review, IEEE SSP Magazie, July,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 21: Shifters, Decoders, Muxes [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN

More information

ECE290 Fall 2012 Lecture 22. Dr. Zbigniew Kalbarczyk

ECE290 Fall 2012 Lecture 22. Dr. Zbigniew Kalbarczyk ECE290 Fall 2012 Lecture 22 Dr. Zbigniew Kalbarczyk Today LC-3 Micro-sequencer (the control store) LC-3 Micro-programmed control memory LC-3 Micro-instruction format LC -3 Micro-sequencer (the circuitry)

More information

UNIT 8A Computer Circuitry: Layers of Abstraction. Boolean Logic & Truth Tables

UNIT 8A Computer Circuitry: Layers of Abstraction. Boolean Logic & Truth Tables UNIT 8 Computer Circuitry: Layers of bstraction 1 oolean Logic & Truth Tables Computer circuitry works based on oolean logic: operations on true (1) and false (0) values. ( ND ) (Ruby: && ) 0 0 0 0 0 1

More information

課程名稱 : 數位邏輯設計 P-1/ /6/11

課程名稱 : 數位邏輯設計 P-1/ /6/11 課程名稱 : 數位邏輯設計 P-1/55 2012/6/11 Textbook: Digital Design, 4 th. Edition M. Morris Mano and Michael D. Ciletti Prentice-Hall, Inc. 教師 : 蘇慶龍 INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter

More information

Olli Simula T / Chapter 1 3. Olli Simula T / Chapter 1 5

Olli Simula T / Chapter 1 3. Olli Simula T / Chapter 1 5 Sigals ad Systems Sigals ad Systems Sigals are variables that carry iformatio Systemstake sigals as iputs ad produce sigals as outputs The course deals with the passage of sigals through systems T-6.4

More information

COSC 243. Introduction to Logic And Combinatorial Logic. Lecture 4 - Introduction to Logic and Combinatorial Logic. COSC 243 (Computer Architecture)

COSC 243. Introduction to Logic And Combinatorial Logic. Lecture 4 - Introduction to Logic and Combinatorial Logic. COSC 243 (Computer Architecture) COSC 243 Introduction to Logic And Combinatorial Logic 1 Overview This Lecture Introduction to Digital Logic Gates Boolean algebra Combinatorial Logic Source: Chapter 11 (10 th edition) Source: J.R. Gregg,

More information

[2] Predicting the direction of a branch is not enough. What else is necessary?

[2] Predicting the direction of a branch is not enough. What else is necessary? [2] What are the two main ways to define performance? [2] Predicting the direction of a branch is not enough. What else is necessary? [2] The power consumed by a chip has increased over time, but the clock

More information

The Design Procedure. Output Equation Determination - Derive output equations from the state table

The Design Procedure. Output Equation Determination - Derive output equations from the state table The Design Procedure Specification Formulation - Obtain a state diagram or state table State Assignment - Assign binary codes to the states Flip-Flop Input Equation Determination - Select flipflop types

More information

Numbers and Arithmetic

Numbers and Arithmetic Numbers and Arithmetic See: P&H Chapter 2.4 2.6, 3.2, C.5 C.6 Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Big Picture: Building a Processor memory inst register file alu

More information

1 Summary: Binary and Logic

1 Summary: Binary and Logic 1 Summary: Biary ad Logic Biary Usiged Represetatio : each 1-bit is a power of two, the right-most is for 2 0 : 0110101 2 = 2 5 + 2 4 + 2 2 + 2 0 = 32 + 16 + 4 + 1 = 53 10 Usiged Rage o bits is [0...2

More information

CSE 140 Spring 2017: Final Solutions (Total 50 Points)

CSE 140 Spring 2017: Final Solutions (Total 50 Points) CSE 140 Spring 2017: Final Solutions (Total 50 Points) 1. (Boolean Algebra) Prove the following Boolean theorem using Boolean laws only, i.e. no theorem is allowed for the proof. State the name of the

More information