Chapter 9 Computer Design Basics

Size: px
Start display at page:

Download "Chapter 9 Computer Design Basics"

Transcription

1 Logic ad Computer Desig Fudametals Chapter 9 Computer Desig asics Part Datapaths Charles Kime & Thomas Kamiski 008 Pearso Educatio, Ic. (Hyperliks are active i View Show mode) Overview Part Datapaths Itroductio Datapath Example Arithmetic Logic Uit (ALU) Shifter Datapath Represetatio ad Cotrol Word Part A Simple Computer Part Multiple Cycle Hardwired Cotrol Chapter 9 Part

2 Itroductio Computer Specificatio Istructio Set Architecture (ISA) - the specificatio of a computer's appearace to a programmer at its lowest level Computer Architecture - a high-level descriptio of the hardware implemetig the computer derived from the ISA The architecture usually icludes additioal specificatios such as speed, cost, ad reliability. Chapter 9 Part Itroductio (cotiued) Simple computer architecture decomposed ito: Datapath for performig operatios Cotrol uit for cotrollig datapath operatios A datapath is specified by: A set of registers The microoperatios performed o the data stored i the registers A cotrol iterface Chapter 9 Part 4

3 Datapaths Guidig priciples for basic datapaths: The set of registers Collectio of idividual registers A set of registers with commo access resources called a register file A combiatio of the above Microoperatio implemetatio Oe or more shared resources for implemetig microoperatios uses - shared trasfer paths Arithmetic-Logic Uit (ALU) - shared resource for implemetig arithmetic ad logic microoperatios Shifter - shared resource for implemetig shift microoperatios Chapter 9 Part 5 Datapath Example Four parallel-load registers Two mux-based register selectors Register destiatio decoder Mux for exteral costat iput uses A ad with exteral address ad data outputs ALU ad Shifter with Mux F for output select Mux D for exteral data iput Logic for geeratig status bits V, C, N, Z eable Write D data 0 Decoder D address Costat i Destiatio select M select V C N Z R0 R R R us A MD select us D 0 MUX 0 MUX D A select A address us 0 MUX A data A G select H select 4 A S :0 C S i Arithmetic/logic 0 I R Shifter I L 0 uit (ALU) G H Zero Detect 0 MF select MUX F Fuctio uit F select address 0 MUX Register file data Address out Data out Data i Chapter 9 Part 6

4 Datapath Example: Performig a Microoperatio Microoperatio: R0 R + R Apply 0 to A select to place cotets of R oto us A Apply 0 to select to place cotets of R oto data ad apply 0 to M select to place data o us Apply 000 to G select to perform additio G = us A + us Apply 0 to MF select ad 0 to MD select to place the value of G oto US D Apply 00 to Destiatio select to eable the iput to R0 Apply to Eable to force the iput to R0 to so that R0 is loaded o the clock pulse (ot show) The overall microoperatio requires clock cycle eable Write D data 0 Decoder D address Costat i Destiatio select M select V C N Z R0 R R R us A MD select us D 0 MUX 0 MUX D A select A address us 0 MUX A data A G select H select 4 A S :0 C S i Arithmetic/logic 0 I R Shifter I L 0 uit (ALU) G H Zero Detect 0 MF select MUX F Fuctio uit F select address MUX Register file data Address out Data out Chapter 9 Part 7 0 Data i Datapath Example: Key Cotrol Actios for Microoperatio Alteratives Perform a shift microoperatio apply to MF select Use a costat i a microoperatio usig us apply to M select Provide a address ad data for a memory or output write microoperatio apply 0 to eable to prevet register loadig Provide a address ad obtai data for a memory or output read microoperatio apply to MD select For some of the above, other cotrol sigals become do't cares eable Write D data 0 Decoder D address Costat i Destiatio select M select V C N Z R0 R R R us A MD select us D 0 MUX 0 MUX D A select A address us 0 MUX A data A G select H select 4 A S :0 C S i Arithmetic/logic 0 I R Shifter I L 0 uit (ALU) G H Zero Detect 0 MF select MUX F Fuctio uit F select address MUX Register file data Address out Data out Chapter 9 Part 8 0 Data i 4

5 Arithmetic Logic Uit (ALU) I this ad the ext sectio, we deal with detailed desig of typical ALUs ad shifters Decompose the ALU ito: A arithmetic circuit A logic circuit A selector to pick betwee the two circuits Arithmetic circuit desig Decompose the arithmetic circuit ito: A -bit parallel adder A block of logic that selects four choices for the iput to the adder See ext slide for diagram Chapter 9 Part 9 Arithmetic Circuit Desig (cotiued) There are oly four fuctios of to select as Y i G = A + Y: C i = 0 C i = 0 G = A G = A + G = A + G = A G = A + G = A + + G = A + + G = A What fuctios are implemeted with carry-i to the adder = 0? =? C i A X S 0 iput logic Y -bit parallel adder G X Y C i S C out Chapter 9 Part 0 5

6 Arithmetic Circuit Desig (cotiued) Addig selectio codes to the fuctios of : TALE 9- Fuctio Table for Arithmetic Circuit Select S S 0 Y Iput + + G = ( AYC i ) C i = 0 C i = all 0s G A (trasfer) G A (icremet) 0 G A (add) G A+ + 0 G A G A (subtract) all s G A (decremet) G A (trasfer) The useful arithmetic fuctios are labeled i the table Note that all four fuctios of produce at least oe useful fuctio + Chapter 9 Part Logic Circuit The text gives a circuit implemeted usig a multiplexer plus gates implemetig: AND, OR, XOR ad NOT Here we custom desig a circuit for bit G i by begiig with a truth table orgaized as a K-map ad assigig (S, S0) codes to AND, OR, etc. G i = S 0 A i i + S A i i S S 0 AND OR XOR NOT + S 0 A i i + S S 0 A i A i i Gate iput cout for MUX solutio > Gate iput cout for 0 0 above circuit < Custom desig better Chapter 9 Part 6

7 Arithmetic Logic Uit (ALU) The custom circuit has iterchaged the (S,S 0 ) codes for XOR ad NOT compared to the MUX circuit. To preserve compatibility with the text, we use the MUX solutio. Next, use the arithmetic circuit, the logic circuit, ad a -way multiplexer to form the ALU. See the ext slide for the bit slice diagram. The iput coectios to the arithmetic circuit ad logic circuit have bee bee assiged to prepare for seamless additio of the shifter, keepig the selectio codes for the combied ALU ad the shifter at 4 bits: Carry-i C i ad Carry-out C i+ go betwee bits A i ad i are coected to both uits A ew sigal S performs the arithmetic/logic selectio The select sigal eterig the LS of the arithmetic circuit, C i, is coected to the least sigificat selectio iput for the logic circuit, S 0. Chapter 9 Part Arithmetic Logic Uit (ALU) (cotiued) C 0 C i C i C i C i A i i S 0 S A i i S 0 S Oe stage of arithmetic circuit -to- 0 MUX G i A i S C i i S 0 Oe stage of logic circuit S S The ext most sigificat select sigals, S0 for the arithmetic circuit ad S for the logic circuit, are wired together, completig the two select sigals for the logic circuit. The remaiig S completes the three select sigals for the arithmetic circuit. Chapter 9 Part 4 7

8 Combiatioal Shifter Parameters Directio: Left, Right Number of positios with examples: Sigle bit: positio 0 ad positios Multiple bit: to positios 0 to positios Fillig of vacat positios May optios depedig o istructio set Here, will provide iput lies or zero fill Chapter 9 Part 5 4-it asic Left/Right Shifter Serial output L 0 I R Serial output R I L S 0 M U X S 0 M U X S 0 M U X S 0 M U X S H H Serial Iputs: Shift Fuctios: I R for right shift (S, S 0 ) = 00 Pass uchaged I L for left shift 0 Right shift Serial Outputs 0 Left shift R for right shift (Same as MS iput) Uused L for left shift (Same as LS iput) H H 0 Chapter 9 Part 6 8

9 arrel Shifter D D D D0 S0 S 0 S S0 0 S S0 0 S S0 0 S S0 M UX M UX M UX M UX Y Y A rotate is a shift i which the bits shifted out are iserted ito the positios vacated The circuit rotates its cotets left from 0 to positios depedig o S: S = 00 positio uchaged S = 0 rotate left by positios S = 0 rotate left by positios S = rotate left by positios See Table 0- i text for details Y Y0 Chapter 9 Part 7 arrel Shifter (cotiued) Large barrel shifters ca be costructed by usig: Layers of multiplexers - Example 64-bit: Layer shifts by 0, 6,, 48 Layer shifts by 0, 4, 8, Layer shifts by 0,,, See example i sectio - of the text - dimesioal array circuits desiged at the electroic level Chapter 9 Part 8 9

10 Datapath Represetatio Have looked at detailed desig of ALU ad shifter i the datapath i slide 8 Here we move up oe level i the hierarchy from that datapath The registers, ad the multiplexer, decoder, ad eable hardware for accessig them become a register file The ALU, shifter, Mux F ad status hardware become a fuctio uit The remaiig muxes ad buses which hadle data trasfers are at the ew level of the hierarchy D data m Write D address m x Register file m A address address m A data data Costat i M select 0 MUX us A us FS V C N Z 4 MD select A Fuctio uit F 0 MUX D Address out Data out Data i Chapter 9 Part 9 Datapath Represetatio (cotiued) I the register file: Multiplexer select iputs become A address ad address Decoder iput becomes D address Multiplexer outputs become A data ad data Iput data to the registers becomes D data eable becomes write The register file ow appears like a memory based o clocked flipflops (the clock is ot show) The fuctio uit labelig is quite straightforward except for FS D data Write m D address m x Register file m A address address m A data data Costat i M select 0 MUX us A us FS V C N Z 4 MD select A Fuctio uit F 0 MUX D Address out Data out Data i Chapter 9 Part 0 0

11 Defiitio of Fuctio Uit Select (FS) Codes G Select, H Select, ad MF i T of FS Codes FS(:0) MF Select G H Select(:0) Select(:0) Microoperatio XX XX XX XX XX XX XX XX X00 XX 00 0 X0 XX 00 0 X0 XX 0 0 X XX 00 XXXX 00 0 XXXX 0 0 XXXX 0 F A F A + F A + F A + + F A + F A + + F A F A F A F A F A F A F F sr F sl oolea Equatios: MFS = F F GS i = F i HS i = F i Chapter 9 Part The Cotrol Word The datapath has may cotrol iputs The sigals drivig these iputs ca be defied ad orgaized ito a cotrol word To execute a microistructio, we apply cotrol word values for a clock cycle. For most microoperatios, the positive edge of the clock cycle is eeded to perform the register load The datapath cotrol word format ad the field defiitios are show o the ext slide Chapter 9 Part

12 The Cotrol Word Fields DA AA A M FS M D R W Cotrol word Fields DA D Address AA A Address A Address M Mux FS Fuctio Select MD Mux D RW Register Write The coectios to datapath are show i the ext slide Chapter 9 Part Cotrol Word lock Diagram RW 0 Write D data 5 DA 4 AA 0 D address 8 x Register file A address A data address data 9 8 A 7 Costat i M 6 us A 0 MUX us Address out Data out A V C N Z Fuctio uit 4 FS 5 Data i MD 0 MUX D us D Chapter 9 Part 4

13 Cotrol Word Ecodig Ecodig of CotrolW DA, AA, A M FS MD RW Fuctio Code Fuctio Code Fuctio Code Fuctio Code Fuctio Code R0 000 Register 0 F A 0000 Fuctio 0 No write 0 R 00 Costat F A Data I Write R 00 F A R 0 F A R4 00 F A R5 0 F A R6 0 F A 00 R7 F A 0 F A 000 F A 00 F A 00 F A 0 F 00 F sr 0 F sl 0 Chapter 9 Part 5 Microoperatios for the Datapath - Symbolic Represetatio Microoperatio DA AA A M FS MD RW R R R R R R Register F = A + + Fuctio Write R4 sl R6 R4 R6 Register F = sl Fuctio Write R7 R7 + R7 R7 Register F = A + Fuctio Write R R0 + R R0 Costat F = A + Fuctio Write Data out R R Register No Write R4 Data i R4 Data i Write R5 0 R5 R0 R0 Register F = A Fuctio Write Chapter 9 Part 6

14 Microoperatios for the Datapath - iary Represetatio m Microoperatios from Ta iary Co o Microoperatio DA AA A M FS MD RW R R R R4 sl R6 R7 R7 + R R0 + Data out R R4 Data i R XXX XXX XXX XXX XXX 0 0 XXXX X 0 00 XXX XXX X XXXX Results of simulatio of the above o the ext slide Chapter 9 Part 7 Datapath Simulatio Clock DA AA A FS Costat_i X X M Address_out Data_out Data_i 8 8 MD RW reg0 0 reg 55 reg reg reg4 4 8 reg5 5 0 reg6 6 reg7 7 8 Status_bits 0 0 X Chapter 9 Part 8 4

15 Terms of Use All (or portios) of this material 008 by Pearso Educatio, Ic. Permissio is give to icorporate this material or adaptatios thereof ito classroom presetatios ad hadouts to istructors i courses adoptig the latest editio of Logic ad Computer Desig Fudametals as the course textbook. These materials or adaptatios thereof are ot to be sold or otherwise offered for cosideratio. This Terms of Use slide or page is to be icluded withi the origial materials or ay adaptatios thereof. Chapter 9 Part 9 5

Chapter 9 Computer Design Basics

Chapter 9 Computer Design Basics Logic ad Computer Desig Fudametals Chapter 9 Computer Desig Basics Part 1 Datapaths Overview Part 1 Datapaths Itroductio Datapath Example Arithmetic Logic Uit (ALU) Shifter Datapath Represetatio Cotrol

More information

Fig. 7-6 Single Bus versus Dedicated Multiplexers

Fig. 7-6 Single Bus versus Dedicated Multiplexers 7- Select SSS2 LLL2 LLL2 S 2 to MUX R R Select S 2 to MUX R S S 3 to MUX 2 us R S 2 to MUX R2 R2 (a) Dedicated multiplexers (b) Sigle us Fig. 7-6 Sigle us versus Dedicated Multiplexers 2 Pretice Hall,

More information

Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect.

Load. Load. Load 1 0 MUX B. MB select. Bus A. A B n H select S 2:0 C S. G select 4 V C N Z. unit (ALU) G. Zero Detect. 9- Write D data Load eable A address A select B address B select Load R 2 2 Load Load R R2 UX 2 3 UX 2 3 2 3 Decoder D address 2 Costat i Destiatio select 28 Pearso Educatio, Ic.. orris ao & Charles R.

More information

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders

EE260: Digital Design, Spring n MUX Gate n Rudimentary functions n Binary Decoders. n Binary Encoders n Priority Encoders EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig MUXs, Ecoders, Decoders Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview of Ecoder ad Decoder MUX Gate

More information

CHAPTER XI DATAPATH ELEMENTS

CHAPTER XI DATAPATH ELEMENTS CHAPTER XI- CHAPTER XI CHAPTER XI READ REE-DOC ON COURSE WEBPAGE CHAPTER XI-2 INTRODUCTION -INTRODUCTION So far we have discussed may small compoets ad buildig blocks. Oe fial step i our buildig blocks

More information

Generic datapath. Generic datapath architecture. Register file. Register file. Calcolatori Elettronici e Sistemi Operativi.

Generic datapath. Generic datapath architecture. Register file. Register file. Calcolatori Elettronici e Sistemi Operativi. alcolatori Elettroici e Sistei Operativi Geeric datapath architecture Set of registers Geeric datapath uber, size. addressig R[0], R[1],... Set of operatios arithetic, logic, shift Addressig (uber of operads,

More information

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations:

EE260: Digital Design, Spring n Binary Addition. n Complement forms. n Subtraction. n Multiplication. n Inputs: A 0, B 0. n Boolean equations: EE260: Digital Desig, Sprig 2018 EE 260: Itroductio to Digital Desig Arithmetic Biary Additio Complemet forms Subtractio Multiplicatio Overview Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring 2007 rithmetic Circuits (Part I) Rady H. Katz Uiversity of Califoria, erkeley prig 27 Lecture #23: rithmetic Circuits- Motivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs

More information

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units

Arithmetic Circuits. (Part I) Randy H. Katz University of California, Berkeley. Spring Time vs. Space Trade-offs. Arithmetic Logic Units rithmetic rcuits (art I) Rady H. Katz Uiversity of Califoria, erkeley otivatio rithmetic circuits are excellet examples of comb. logic desig Time vs. pace Trade-offs Doig thigs fast requires more logic

More information

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review Ref: Staley. White, pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review, IEEE SSP Magazie, July,

More information

Structure of a Typical Digital System Data Inputs

Structure of a Typical Digital System Data Inputs ecture RT Desig Methodology Trasitio from the & Iterface to a Correspodig Block Diagram Structure of a Typical Digital System Data Iputs Datapath (Executio Uit) Data Outputs Cotrol Sigals Status Sigals

More information

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation

Overview EECS Components and Design Techniques for Digital Systems. Lec 15 Addition, Subtraction, and Negative Numbers. Positional Notation Overview EEC 5 Compoets ad Desig Techiques for Digital ystems Lec 5 dditio, ubtractio, ad Negative Numbers David Culler Electrical Egieerig ad Computer cieces Uiversity of Califoria, erkeley Recall basic

More information

Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018

Design of Digital Circuits Lecture 7: Sequential Logic Design. Prof. Onur Mutlu ETH Zurich Spring March 2018 Desig of Digital Circuits Lecture 7: Seuetial Logic Desig Prof. Our Mutlu ETH Zurich Sprig 2018 15 March 2018 Readigs Please study Slides 102-120 from Lecture 6 o your ow This week Seuetial Logic P&P Chapter

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates.

We start by describing a one bit memory circuit built of a couple of two inputs NAND gates. Chapter 4: Sequetial Logic ( copyright by aiel Seider) Util ow we discussed circuits that are combiatioal. This meas that their outputs were fuctios of the iputs, ad oly the iputs, at all times. For each

More information

Principle Of Superposition

Principle Of Superposition ecture 5: PREIMINRY CONCEP O RUCUR NYI Priciple Of uperpositio Mathematically, the priciple of superpositio is stated as ( a ) G( a ) G( ) G a a or for a liear structural system, the respose at a give

More information

7. Modern Techniques. Data Encryption Standard (DES)

7. Modern Techniques. Data Encryption Standard (DES) 7. Moder Techiques. Data Ecryptio Stadard (DES) The objective of this chapter is to illustrate the priciples of moder covetioal ecryptio. For this purpose, we focus o the most widely used covetioal ecryptio

More information

Lecture 10: Universal coding and prediction

Lecture 10: Universal coding and prediction 0-704: Iformatio Processig ad Learig Sprig 0 Lecture 0: Uiversal codig ad predictio Lecturer: Aarti Sigh Scribes: Georg M. Goerg Disclaimer: These otes have ot bee subjected to the usual scrutiy reserved

More information

NICK DUFRESNE. 1 1 p(x). To determine some formulas for the generating function of the Schröder numbers, r(x) = a(x) =

NICK DUFRESNE. 1 1 p(x). To determine some formulas for the generating function of the Schröder numbers, r(x) = a(x) = AN INTRODUCTION TO SCHRÖDER AND UNKNOWN NUMBERS NICK DUFRESNE Abstract. I this article we will itroduce two types of lattice paths, Schröder paths ad Ukow paths. We will examie differet properties of each,

More information

EE260: Digital Design, Spring n Binary logic and Gates n Boolean Algebra. n Basic Properties n Algebraic Manipulation

EE260: Digital Design, Spring n Binary logic and Gates n Boolean Algebra. n Basic Properties n Algebraic Manipulation EE26: Digital Desig, Sprig 28 2-Ja-8 EE 26: Itroductio to Digital Desig Boolea lgebra: Logic Represetatios ad alysis Yao Zheg Departmet of Electrical Egieerig Uiversity of Hawaiʻi at Māoa Overview Biary

More information

(3) If you replace row i of A by its sum with a multiple of another row, then the determinant is unchanged! Expand across the i th row:

(3) If you replace row i of A by its sum with a multiple of another row, then the determinant is unchanged! Expand across the i th row: Math 5-4 Tue Feb 4 Cotiue with sectio 36 Determiats The effective way to compute determiats for larger-sized matrices without lots of zeroes is to ot use the defiitio, but rather to use the followig facts,

More information

DESIGN AND IMPLEMENTATION OF IMPROVED AREA EFFICIENT WEIGHTED MODULO 2N+1 ADDER DESIGN

DESIGN AND IMPLEMENTATION OF IMPROVED AREA EFFICIENT WEIGHTED MODULO 2N+1 ADDER DESIGN ARPN Joural of Egieerig ad Applied Scieces 2006-204 Asia Research Publishig Network (ARPN). All rights reserved. www.arpjourals.com DESIGN AND IMPLEMENTATION OF IMPROVED AREA EFFICIENT WEIGHTED MODULO

More information

CPS 104 Computer Organization and Programming Lecture 11: Gates, Buses, Latches. Robert Wagner

CPS 104 Computer Organization and Programming Lecture 11: Gates, Buses, Latches. Robert Wagner CPS 4 Computer Organization and Programming Lecture : Gates, Buses, Latches. Robert Wagner CPS4 GBL. RW Fall 2 Overview of Today s Lecture: The MIPS ALU Shifter The Tristate driver Bus Interconnections

More information

ECE 308 Discrete-Time Signals and Systems

ECE 308 Discrete-Time Signals and Systems ECE 38-5 ECE 38 Discrete-Time Sigals ad Systems Z. Aliyazicioglu Electrical ad Computer Egieerig Departmet Cal Poly Pomoa ECE 38-5 1 Additio, Multiplicatio, ad Scalig of Sequeces Amplitude Scalig: (A Costat

More information

Lecture 2 Linear and Time Invariant Systems

Lecture 2 Linear and Time Invariant Systems EE3054 Sigals ad Systems Lecture 2 Liear ad Time Ivariat Systems Yao Wag Polytechic Uiversity Most of the slides icluded are extracted from lecture presetatios prepared by McClella ad Schafer Licese Ifo

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes

CMPEN 411 VLSI Digital Circuits Spring Lecture 21: Shifters, Decoders, Muxes CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 21: Shifters, Decoders, Muxes [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN

More information

Internal Information Representation and Processing

Internal Information Representation and Processing Iteral Iformatio Represetatio ad Processig CSCE 16 - Fudametals of Computer Sciece Dr. Awad Khalil Computer Sciece & Egieerig Departmet The America Uiversity i Cairo Decimal Number System We are used to

More information

Annotations to the assignments and the solution sheet. Note the following points

Annotations to the assignments and the solution sheet. Note the following points WS 26/7 Trial Exam: Fudametals of Computer Egieerig Seite: Aotatios to the assigmets ad the solutio sheet This is a multiple choice examiatio, that meas: Solutio approaches are ot assessed. For each sub-task

More information

DESCRIPTION OF THE SYSTEM

DESCRIPTION OF THE SYSTEM Sychroous-Serial Iterface for absolute Ecoders SSI 1060 BE 10 / 01 DESCRIPTION OF THE SYSTEM TWK-ELEKTRONIK GmbH D-001 Düsseldorf PB 1006 Heirichstr. Tel +9/11/6067 Fax +9/11/6770 e-mail: ifo@twk.de Page

More information

Number System. Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary

Number System. Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary Number System Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary BOOLEAN ALGEBRA BOOLEAN LOGIC OPERATIONS Logical AND Logical OR Logical COMPLEMENTATION

More information

SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES

SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES SECTION 1.5 : SUMMATION NOTATION + WORK WITH SEQUENCES Read Sectio 1.5 (pages 5 9) Overview I Sectio 1.5 we lear to work with summatio otatio ad formulas. We will also itroduce a brief overview of sequeces,

More information

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5.

CHAPTER log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C * 9-4.* (Errata: Delete 1 after problem number) 9-5. CHPTER 9 2008 Pearson Education, Inc. 9-. log 2 64 = 6 lines/mux or decoder 9-2.* C = C 8 V = C 8 C 7 Z = F 7 + F 6 + F 5 + F 4 + F 3 + F 2 + F + F 0 N = F 7 9-3.* = S + S = S + S S S S0 C in C 0 dder

More information

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations

ECE-S352 Introduction to Digital Signal Processing Lecture 3A Direct Solution of Difference Equations ECE-S352 Itroductio to Digital Sigal Processig Lecture 3A Direct Solutio of Differece Equatios Discrete Time Systems Described by Differece Equatios Uit impulse (sample) respose h() of a DT system allows

More information

ALU A functional unit

ALU A functional unit ALU A functional unit that performs arithmetic operations such as ADD, SUB, MPY logical operations such as AND, OR, XOR, NOT on given data types: 8-,16-,32-, or 64-bit values A n-1 A n-2... A 1 A 0 B n-1

More information

6.003 Homework #3 Solutions

6.003 Homework #3 Solutions 6.00 Homework # Solutios Problems. Complex umbers a. Evaluate the real ad imagiary parts of j j. π/ Real part = Imagiary part = 0 e Euler s formula says that j = e jπ/, so jπ/ j π/ j j = e = e. Thus the

More information

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines

6.111 Lecture 6 Today: 1.Blocking vs. non-blocking assignments 2.Single clock synchronous circuits 3.Finite State Machines 6. Lecture 6 Today:.Blockig vs. o-blockig assigmets 2.Sigle clock sychroous circuits 3.Fiite State Machies 6. Fall 25 Lecture 6, Slide I. Blockig vs. Noblockig Assigmets Coceptual eed for two kids of assigmet

More information

2.004 Dynamics and Control II Spring 2008

2.004 Dynamics and Control II Spring 2008 MIT OpeCourseWare http://ocw.mit.edu 2.004 Dyamics ad Cotrol II Sprig 2008 For iformatio about citig these materials or our Terms of Use, visit: http://ocw.mit.edu/terms. Massachusetts Istitute of Techology

More information

1 Hash tables. 1.1 Implementation

1 Hash tables. 1.1 Implementation Lecture 8 Hash Tables, Uiversal Hash Fuctios, Balls ad Bis Scribes: Luke Johsto, Moses Charikar, G. Valiat Date: Oct 18, 2017 Adapted From Virgiia Williams lecture otes 1 Hash tables A hash table is a

More information

Building Sequences and Series with a Spreadsheet (Create)

Building Sequences and Series with a Spreadsheet (Create) Overview I this activity, studets will lear how to costruct a.ts file to ivestigate sequeces ad series ad to discover some iterestig patters while avoidig tedious calculatios. They will explore both arithmetic

More information

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design

CMOS. Dynamic Logic Circuits. Chapter 9. Digital Integrated Circuits Analysis and Design MOS Digital Itegrated ircuits Aalysis ad Desig hapter 9 Dyamic Logic ircuits 1 Itroductio Static logic circuit Output correspodig to the iput voltage after a certai time delay Preservig its output level

More information

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3 Digital Logic: Boolean Algebra and Gates Textbook Chapter 3 Basic Logic Gates XOR CMPE12 Summer 2009 02-2 Truth Table The most basic representation of a logic function Lists the output for all possible

More information

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review

Applications of Distributed Arithmetic to Digital Signal Processing: A Tutorial Review pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review Ref: Staley. White, pplicatios of Distriuted rithmetic to Digital Sigal Processig: Tutorial Review, IEEE SSP Magazie, July,

More information

t distribution [34] : used to test a mean against an hypothesized value (H 0 : µ = µ 0 ) or the difference

t distribution [34] : used to test a mean against an hypothesized value (H 0 : µ = µ 0 ) or the difference EXST30 Backgroud material Page From the textbook The Statistical Sleuth Mea [0]: I your text the word mea deotes a populatio mea (µ) while the work average deotes a sample average ( ). Variace [0]: The

More information

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing IT 204 Section 3.0 Boolean Algebra and Digital Logic Boolean Algebra 2 Logic Equations to Truth Tables X = A. B + A. B + AB A B X 0 0 0 0 3 Sum of Products The OR operation performed on the products of

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

Problem Set # 5 Solutions

Problem Set # 5 Solutions MIT./8.4/6.898/8.435 Quatum Iformatio Sciece I Fall, 00 Sam Ocko October 5, 00 Problem Set # 5 Solutios. Most uitar trasforms are hard to approimate. (a) We are dealig with boolea fuctios that take bits

More information

MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE

MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE MATH 262A LECTURE 1: AN INTRODUCTION TO BOOLEAN CIRCUITS AND FORMULAE SCRIBE: CHRISTIAN WOODS 1. Admiistrivia MATH 262A - Circuit Compleit WF 3:30-5:00, APM B412 Istructor: Dr. Sam Buss, sbuss@math.ucsd.edu

More information

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control Logic and Computer Design Fundamentals Chapter 8 Sequencing and Control Datapath and Control Datapath - performs data transfer and processing operations Control Unit - Determines enabling and sequencing

More information

Chimica Inorganica 3

Chimica Inorganica 3 himica Iorgaica Irreducible Represetatios ad haracter Tables Rather tha usig geometrical operatios, it is ofte much more coveiet to employ a ew set of group elemets which are matrices ad to make the rule

More information

U8L1: Sec Equations of Lines in R 2

U8L1: Sec Equations of Lines in R 2 MCVU U8L: Sec. 8.9. Equatios of Lies i R Review of Equatios of a Straight Lie (-D) Cosider the lie passig through A (-,) with slope, as show i the diagram below. I poit slope form, the equatio of the lie

More information

Module 5 EMBEDDED WAVELET CODING. Version 2 ECE IIT, Kharagpur

Module 5 EMBEDDED WAVELET CODING. Version 2 ECE IIT, Kharagpur Module 5 EMBEDDED WAVELET CODING Versio ECE IIT, Kharagpur Lesso 4 SPIHT algorithm Versio ECE IIT, Kharagpur Istructioal Objectives At the ed of this lesso, the studets should be able to:. State the limitatios

More information

課程名稱 : 數位邏輯設計 P-1/ /6/11

課程名稱 : 數位邏輯設計 P-1/ /6/11 課程名稱 : 數位邏輯設計 P-1/55 2012/6/11 Textbook: Digital Design, 4 th. Edition M. Morris Mano and Michael D. Ciletti Prentice-Hall, Inc. 教師 : 蘇慶龍 INSTRUCTOR : CHING-LUNG SU E-mail: kevinsu@yuntech.edu.tw Chapter

More information

Properties and Tests of Zeros of Polynomial Functions

Properties and Tests of Zeros of Polynomial Functions Properties ad Tests of Zeros of Polyomial Fuctios The Remaider ad Factor Theorems: Sythetic divisio ca be used to fid the values of polyomials i a sometimes easier way tha substitutio. This is show by

More information

Permutations & Combinations. Dr Patrick Chan. Multiplication / Addition Principle Inclusion-Exclusion Principle Permutation / Combination

Permutations & Combinations. Dr Patrick Chan. Multiplication / Addition Principle Inclusion-Exclusion Principle Permutation / Combination Discrete Mathematic Chapter 3: C outig 3. The Basics of Coutig 3.3 Permutatios & Combiatios 3.5 Geeralized Permutatios & Combiatios 3.6 Geeratig Permutatios & Combiatios Dr Patrick Cha School of Computer

More information

ITEC 360 Data Structures and Analysis of Algorithms Spring for n 1

ITEC 360 Data Structures and Analysis of Algorithms Spring for n 1 ITEC 360 Data Structures ad Aalysis of Algorithms Sprig 006 1. Prove that f () = 60 + 5 + 1 is Θ ( ). 60 + 5 + 1 60 + 5 + = 66 for 1 Take C 1 = 66 f () = 60 + 5 + 1 is O( ) Sice 60 + 5 + 1 60 for 1 If

More information

Chapter 3 Combinational Logic Design

Chapter 3 Combinational Logic Design Logic and Computer Design Fundamentals Chapter 3 Combinational Logic Design Part 2 Combinational Logic Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

A widely used display of protein shapes is based on the coordinates of the alpha carbons - - C α

A widely used display of protein shapes is based on the coordinates of the alpha carbons - - C α Nice plottig of proteis: I A widely used display of protei shapes is based o the coordiates of the alpha carbos - - C α -s. The coordiates of the C α -s are coected by a cotiuous curve that roughly follows

More information

SOME TRIBONACCI IDENTITIES

SOME TRIBONACCI IDENTITIES Mathematics Today Vol.7(Dec-011) 1-9 ISSN 0976-38 Abstract: SOME TRIBONACCI IDENTITIES Shah Devbhadra V. Sir P.T.Sarvajaik College of Sciece, Athwalies, Surat 395001. e-mail : drdvshah@yahoo.com The sequece

More information

Run-length & Entropy Coding. Redundancy Removal. Sampling. Quantization. Perform inverse operations at the receiver EEE

Run-length & Entropy Coding. Redundancy Removal. Sampling. Quantization. Perform inverse operations at the receiver EEE Geeral e Image Coder Structure Motio Video (s 1,s 2,t) or (s 1,s 2 ) Natural Image Samplig A form of data compressio; usually lossless, but ca be lossy Redudacy Removal Lossless compressio: predictive

More information

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison

Introduction to Computer Engineering. CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison Introduction to Computer Engineering CS/ECE 252, Fall 2012 Prof. Guri Sohi Computer Sciences Department University of Wisconsin Madison Chapter 3 Digital Logic Structures Slides based on set prepared by

More information

Design at the Register Transfer Level

Design at the Register Transfer Level Week-7 Design at the Register Transfer Level Algorithmic State Machines Algorithmic State Machine (ASM) q Our design methodologies do not scale well to real-world problems. q 232 - Logic Design / Algorithmic

More information

G r a d e 1 1 P r e - C a l c u l u s M a t h e m a t i c s ( 3 0 S )

G r a d e 1 1 P r e - C a l c u l u s M a t h e m a t i c s ( 3 0 S ) G r a d e 1 1 P r e - C a l c u l u s M a t h e m a t i c s ( 3 0 S ) Grade 11 Pre-Calculus Mathematics (30S) is desiged for studets who ited to study calculus ad related mathematics as part of post-secodary

More information

Pipelined and Parallel Recursive and Adaptive Filters

Pipelined and Parallel Recursive and Adaptive Filters VLSI Digital Sigal Processig Systems Pipelied ad Parallel Recursive ad Adaptive Filters La-Da Va 范倫達, Ph. D. Departmet of Computer Sciece Natioal Chiao ug Uiversity aiwa, R.O.C. Fall, 05 ldva@cs.ctu.edu.tw

More information

ELEC1200: A System View of Communications: from Signals to Packets Lecture 3

ELEC1200: A System View of Communications: from Signals to Packets Lecture 3 ELEC2: A System View of Commuicatios: from Sigals to Packets Lecture 3 Commuicatio chaels Discrete time Chael Modelig the chael Liear Time Ivariat Systems Step Respose Respose to sigle bit Respose to geeral

More information

Commutativity in Permutation Groups

Commutativity in Permutation Groups Commutativity i Permutatio Groups Richard Wito, PhD Abstract I the group Sym(S) of permutatios o a oempty set S, fixed poits ad trasiet poits are defied Prelimiary results o fixed ad trasiet poits are

More information

Computing the output response of LTI Systems.

Computing the output response of LTI Systems. Computig the output respose of LTI Systems. By breaig or decomposig ad represetig the iput sigal to the LTI system ito terms of a liear combiatio of a set of basic sigals. Usig the superpositio property

More information

Discrete-Time Systems, LTI Systems, and Discrete-Time Convolution

Discrete-Time Systems, LTI Systems, and Discrete-Time Convolution EEL5: Discrete-Time Sigals ad Systems. Itroductio I this set of otes, we begi our mathematical treatmet of discrete-time s. As show i Figure, a discrete-time operates or trasforms some iput sequece x [

More information

Computability and computational complexity

Computability and computational complexity Computability ad computatioal complexity Lecture 6: Relatios betwee complexity classes Io Petre Computer Sciece, Åbo Akademi Uiversity Fall 2015 http://users.abo.fi/ipetre/computability/ 21 May 2018 http://users.abo.fi/ipetre/computability/

More information

Problem 01 X Y. Logic Testbank. Problem. Problems. Problem 1:

Problem 01 X Y. Logic Testbank. Problem. Problems. Problem 1: This documet was created by me but that does t mea that I ow this cotet, so I m just sharig it like ayoe else would do, good luck - Sa'eed wad roblems roblem 01 roblem Logic Testbak roblem 1: 4-to-1 MUX,

More information

Langford s Problem. Moti Ben-Ari. Department of Science Teaching. Weizmann Institute of Science.

Langford s Problem. Moti Ben-Ari. Department of Science Teaching. Weizmann Institute of Science. Lagford s Problem Moti Be-Ari Departmet of Sciece Teachig Weizma Istitute of Sciece http://www.weizma.ac.il/sci-tea/beari/ c 017 by Moti Be-Ari. This work is licesed uder the Creative Commos Attributio-ShareAlike

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Simple Processor CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Digital

More information

P1 Chapter 8 :: Binomial Expansion

P1 Chapter 8 :: Binomial Expansion P Chapter 8 :: Biomial Expasio jfrost@tiffi.kigsto.sch.uk www.drfrostmaths.com @DrFrostMaths Last modified: 6 th August 7 Use of DrFrostMaths for practice Register for free at: www.drfrostmaths.com/homework

More information

Machine Learning for Data Science (CS 4786)

Machine Learning for Data Science (CS 4786) Machie Learig for Data Sciece CS 4786) Lecture & 3: Pricipal Compoet Aalysis The text i black outlies high level ideas. The text i blue provides simple mathematical details to derive or get to the algorithm

More information

Quadratic Functions. Before we start looking at polynomials, we should know some common terminology.

Quadratic Functions. Before we start looking at polynomials, we should know some common terminology. Quadratic Fuctios I this sectio we begi the study of fuctios defied by polyomial expressios. Polyomial ad ratioal fuctios are the most commo fuctios used to model data, ad are used extesively i mathematical

More information

EE 505. Lecture 29. ADC Design. Oversampled

EE 505. Lecture 29. ADC Design. Oversampled EE 505 Lecture 29 ADC Desig Oversampled Review from Last Lecture SAR ADC V IN Sample Hold C LK V REF DAC DAC Cotroller DAC Cotroller stores estimates of iput i Successive Approximatio Register (SAR) At

More information

Lecture 11: Pseudorandom functions

Lecture 11: Pseudorandom functions COM S 6830 Cryptography Oct 1, 2009 Istructor: Rafael Pass 1 Recap Lecture 11: Pseudoradom fuctios Scribe: Stefao Ermo Defiitio 1 (Ge, Ec, Dec) is a sigle message secure ecryptio scheme if for all uppt

More information

2C09 Design for seismic and climate changes

2C09 Design for seismic and climate changes 2C09 Desig for seismic ad climate chages Lecture 02: Dyamic respose of sigle-degree-of-freedom systems I Daiel Grecea, Politehica Uiversity of Timisoara 10/03/2014 Europea Erasmus Mudus Master Course Sustaiable

More information

Advanced Course of Algorithm Design and Analysis

Advanced Course of Algorithm Design and Analysis Differet complexity measures Advaced Course of Algorithm Desig ad Aalysis Asymptotic complexity Big-Oh otatio Properties of O otatio Aalysis of simple algorithms A algorithm may may have differet executio

More information

Injections, Surjections, and the Pigeonhole Principle

Injections, Surjections, and the Pigeonhole Principle Ijectios, Surjectios, ad the Pigeohole Priciple 1 (10 poits Here we will come up with a sloppy boud o the umber of parethesisestigs (a (5 poits Describe a ijectio from the set of possible ways to est pairs

More information

Systems of Particles: Angular Momentum and Work Energy Principle

Systems of Particles: Angular Momentum and Work Energy Principle 1 2.003J/1.053J Dyamics ad Cotrol I, Sprig 2007 Professor Thomas Peacock 2/20/2007 Lecture 4 Systems of Particles: Agular Mometum ad Work Eergy Priciple Systems of Particles Agular Mometum (cotiued) τ

More information

Some examples of vector spaces

Some examples of vector spaces Roberto s Notes o Liear Algebra Chapter 11: Vector spaces Sectio 2 Some examples of vector spaces What you eed to kow already: The te axioms eeded to idetify a vector space. What you ca lear here: Some

More information

Parallel Vector Algorithms David A. Padua

Parallel Vector Algorithms David A. Padua Parallel Vector Algorithms 1 of 32 Itroductio Next, we study several algorithms where parallelism ca be easily expressed i terms of array operatios. We will use Fortra 90 to represet these algorithms.

More information

ELE B7 Power Systems Engineering. Symmetrical Components

ELE B7 Power Systems Engineering. Symmetrical Components ELE B7 Power Systems Egieerig Symmetrical Compoets Aalysis of Ubalaced Systems Except for the balaced three-phase fault, faults result i a ubalaced system. The most commo types of faults are sigle liegroud

More information

Introduction to Distributed Arithmetic. K. Sridharan, IIT Madras

Introduction to Distributed Arithmetic. K. Sridharan, IIT Madras Itroductio to Distriuted rithmetic. Sridhara, IIT Madras Distriuted rithmetic (D) efficiet techique for calculatio of ier product or multipl ad accumulate (MC) The MC operatio is commo i Digital Sigal

More information

Lecture 2 Clustering Part II

Lecture 2 Clustering Part II COMS 4995: Usupervised Learig (Summer 8) May 24, 208 Lecture 2 Clusterig Part II Istructor: Nakul Verma Scribes: Jie Li, Yadi Rozov Today, we will be talkig about the hardess results for k-meas. More specifically,

More information

Skip Lists. Presentation for use with the textbook, Algorithm Design and Applications, by M. T. Goodrich and R. Tamassia, Wiley, 2015 S 3 S S 1

Skip Lists. Presentation for use with the textbook, Algorithm Design and Applications, by M. T. Goodrich and R. Tamassia, Wiley, 2015 S 3 S S 1 Presetatio for use with the textbook, Algorithm Desig ad Applicatios, by M. T. Goodrich ad R. Tamassia, Wiley, 2015 Skip Lists S 3 15 15 23 10 15 23 36 Skip Lists 1 What is a Skip List A skip list for

More information

Data Structures Lecture 9

Data Structures Lecture 9 Fall 2017 Fag Yu Software Security Lab. Dept. Maagemet Iformatio Systems, Natioal Chegchi Uiversity Data Structures Lecture 9 Midterm o Dec. 7 (9:10-12:00am, 106) Lec 1-9, TextBook Ch1-8, 11,12 How to

More information

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017

9. Datapath Design. Jacob Abraham. Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 9. Datapath Design Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 2, 2017 ECE Department, University of Texas at Austin

More information

CHAPTER 10 INFINITE SEQUENCES AND SERIES

CHAPTER 10 INFINITE SEQUENCES AND SERIES CHAPTER 10 INFINITE SEQUENCES AND SERIES 10.1 Sequeces 10.2 Ifiite Series 10.3 The Itegral Tests 10.4 Compariso Tests 10.5 The Ratio ad Root Tests 10.6 Alteratig Series: Absolute ad Coditioal Covergece

More information

Jitter Transfer Functions For The Reference Clock Jitter In A Serial Link: Theory And Applications

Jitter Transfer Functions For The Reference Clock Jitter In A Serial Link: Theory And Applications Jitter Trasfer Fuctios For The Referece Clock Jitter I A Serial Lik: Theory Ad Applicatios Mike Li, Wavecrest Ady Martwick, Itel Gerry Talbot, AMD Ja Wilstrup, Teradye Purposes Uderstad various jitter

More information

Olli Simula T / Chapter 1 3. Olli Simula T / Chapter 1 5

Olli Simula T / Chapter 1 3. Olli Simula T / Chapter 1 5 Sigals ad Systems Sigals ad Systems Sigals are variables that carry iformatio Systemstake sigals as iputs ad produce sigals as outputs The course deals with the passage of sigals through systems T-6.4

More information

CS161: Algorithm Design and Analysis Handout #10 Stanford University Wednesday, 10 February 2016

CS161: Algorithm Design and Analysis Handout #10 Stanford University Wednesday, 10 February 2016 CS161: Algorithm Desig ad Aalysis Hadout #10 Staford Uiversity Wedesday, 10 February 2016 Lecture #11: Wedesday, 10 February 2016 Topics: Example midterm problems ad solutios from a log time ago Sprig

More information

Amortized Analysis - Part 2 - Dynamic Tables. Objective: In this lecture, we shall explore Dynamic tables and its amortized analysis in detail.

Amortized Analysis - Part 2 - Dynamic Tables. Objective: In this lecture, we shall explore Dynamic tables and its amortized analysis in detail. Idia Istitute of Iformatio Techology Desig ad Maufacturig, Kacheepuram Cheai 600 17, Idia A Autoomous Istitute uder MHRD, Govt of Idia http://www.iiitdm.ac.i COM 501 Advaced Data Structures ad Algorithms

More information

Slides for Lecture 19

Slides for Lecture 19 Slides for Lecture 19 ENEL 353: Digital Circuits Fall 2013 Term Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary 23 October, 2013 ENEL 353

More information

EE / EEE SAMPLE STUDY MATERIAL. GATE, IES & PSUs Signal System. Electrical Engineering. Postal Correspondence Course

EE / EEE SAMPLE STUDY MATERIAL. GATE, IES & PSUs Signal System. Electrical Engineering. Postal Correspondence Course Sigal-EE Postal Correspodece Course 1 SAMPLE STUDY MATERIAL Electrical Egieerig EE / EEE Postal Correspodece Course GATE, IES & PSUs Sigal System Sigal-EE Postal Correspodece Course CONTENTS 1. SIGNAL

More information

Sequences, Mathematical Induction, and Recursion. CSE 2353 Discrete Computational Structures Spring 2018

Sequences, Mathematical Induction, and Recursion. CSE 2353 Discrete Computational Structures Spring 2018 CSE 353 Discrete Computatioal Structures Sprig 08 Sequeces, Mathematical Iductio, ad Recursio (Chapter 5, Epp) Note: some course slides adopted from publisher-provided material Overview May mathematical

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

Recursive Algorithms. Recurrences. Recursive Algorithms Analysis

Recursive Algorithms. Recurrences. Recursive Algorithms Analysis Recursive Algorithms Recurreces Computer Sciece & Egieerig 35: Discrete Mathematics Christopher M Bourke cbourke@cseuledu A recursive algorithm is oe i which objects are defied i terms of other objects

More information

Classification of problem & problem solving strategies. classification of time complexities (linear, logarithmic etc)

Classification of problem & problem solving strategies. classification of time complexities (linear, logarithmic etc) Classificatio of problem & problem solvig strategies classificatio of time complexities (liear, arithmic etc) Problem subdivisio Divide ad Coquer strategy. Asymptotic otatios, lower boud ad upper boud:

More information

Chapter 4 : Laplace Transform

Chapter 4 : Laplace Transform 4. Itroductio Laplace trasform is a alterative to solve the differetial equatio by the complex frequecy domai ( s = σ + jω), istead of the usual time domai. The DE ca be easily trasformed ito a algebraic

More information