Workshop. GerALD September 22-23, 2008, Max Planck Institute of Microstructure Physics, Halle. FKZ: 03X5507

Size: px
Start display at page:

Download "Workshop. GerALD September 22-23, 2008, Max Planck Institute of Microstructure Physics, Halle. FKZ: 03X5507"

Transcription

1 Workshop GerALD 2008 September 22-23, 2008, Max Planck Institute of Microstructure Physics, Halle. FKZ: 03X5507

2 About GerALD: The workshop GerALD is primarily dedicated to the research activities in the field of ALD or closely related research areas in Germany. The workshop should give attending scientists and engineers the opportunity to learn about the activities of other research groups and possibly initiate new collaborations. Workshop Location: For Information about the Workshop location, please refer to the Website of our institute: and select About the institute on the left hand side. Organization: The Workshop is organized by the BMBF-Junior Reserch group Functional 3D-Nanostructures by Atomic Layer Deposition and financed by the German Ministry of Education and Research (Bundesministerium für Bildung und Forschung BMBF). Contract Number: 03X5507 Contact information: Dr. Mato Knez Max-Planck-Institute of Microstructure Physics Tel:

3 Monday Sept. 22, Workshop Opening Welcome Address (Mato Knez) Opening Words (Ulrich Gösele) 1st Session Metals Naoufal Bahlawane, University Bielefeld Advances in the CVD of metals, with perspective application in ALD" Thomas Wächtler, Chemnitz university of Technology "Atomic layer deposition of copper and copper oxide thin films for applications in microelectronic metallization systems" Matthias Albert, Dresden University of Technology "Metal and Insulator layers for DRAM applications" 2nd Session Thin Films and Applications Jonathan Eroms, University Regensburg "Transport in Antidot Lattices in Graphene" Yongfeng Mei, IFW Dresden "Rolled-up nanotech and ALD: More Choices" Thomas Riedl, Braunschweig University of Technology "Atomic Layer Deposition for Organic Optoelectronic Devices" 3rd Session Nanostructures Kornelius Nielsch, University of Hamburg ALD of ferromagnetic thin films and nanostructures Alfred Plettl, University of Ulm Periodically ordered nanomasks on Si for the preparation of nanoholes and first results on filling by ALD, PLD, and Electrochemistry Margit Zacharias, Albert-Ludwigs-University Freiburg Nanotube fabrication based on ALD overgrown nanostructures: basic concept and selected examples Mato Knez, MPI Halle Nano- and Microstructuring with ALD Dinner Break & Posters Evening Session Annelies Delabie, IMEC, Belgium Atomic layer deposition of HfO 2 gate dielectric layers on Si, Ge and III-V substrates Steven George, University of Colorado at Boulder, USA Surface Chemistry for Molecular Layer Deposition of Polymers Gregory Parsons, North Carolina State University, USA Chemistry and Applications of Low Temperature (<150 C) ALD."

4 Tuesday Sept. 23, th Session Precursors and Processes Matti Putkonen, Beneq Oy, Herzogenrath, Germany; Vantaa, Finland Atomic layer deposition and characterization of biocompatible hydroxyapatite thin films Sanjay Mathur, University Cologne "Molecule-based Chemical Vapour Deposition: Opportunities and Limitaions in Chemical Design" Anjana Devi, Ruhr-University Bochum "Precursor Chemistry for ALD of High-k Oxides" Elke Erben, Qimonda AG, Dresden CVD Effects in ALD th Session high-k Materials Roman Luptak, Research Center Jülich "ALD of HfO2 on 200/300 mm wafers for gate dielectrics" Susanne Hoffmann-Eifert, Research Center Jülich "Liquid Injection Atomic Layer Deposition of Perovskite-type Multi component Oxide Thin Films for Ferroelectric and Higher-k Three Dimensional Capacitor Structures" Christian Wenger, IHP Microelectronics Frankfurt/Oder "Atomic Vapor Deposition of High-k Metal-Insulator-Metal Capacitors" Florian Speck, Friedrich-Alexander-University Erlangen-Nürnberg "Synthesis and Characterization of Al2O3 as gate dielectric for Si and SiC MOSFETs" Lunch Break 6th Session ALD Reactors Ventzeslav Rangelow, ATV-Tech, Vaterstetten "Novel atomic layer deposition reactor for research and development" Johannes Lindner, Aixtron, Aachen "Design of ALD Reactors for the Semiconductor Industry" Discussions and Closing

5 Poster Contributions Author Baristiran Kaynak IHP-microelectronics, Frankfurt/Oder Title Analytical Aspects of Atomic Vapor Deposited High-k Dielectrics Christian Pfahler University Ulm Nano-hole filling with Iron oxide by ALD Nina Roth, Alexander Jakob, Uwe Siegert, Thomas Wächtler, Stefan E. Schulz, Thomas Gessner, Heinrich Lang Tu Chemnitz Cu(I)-, Ag(I)- and Ru(II)-Precursors for ALD and CVD M. Schaekers, K. Opsomer, D. Deduytsche 1, C. Detavernier 1, N. Blasco 2 and A. Zauner 2 IMEC, Belgium 1 Department of Solid State Sciences, Ghent University, Belgium 2 Air Liquide Research & Development, France Cedex ALD of ZrO 2, TiO 2 and ZrTiO 4 Thin Films from Novel Heteroleptic Precursors Daniel Kück University Ulm Atomic Layer Deposition of Aluminium Oxide onto Hydrogen-terminated Diamond Aleksandra Zydor Tyndall National Institute, Cork, Ireland An ab initio Evaluation of Cyclopentadienyl Precursors for the Atomic Layer Deposition of Hafnia and Zirconia Additional, not listed poster contributions will be presented as late contributions at the Workshop.

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch.

Complex Nanostructures by Atomic Layer Deposition. Kornelius Nielsch. Complex Nanostructures by Atomic Layer Deposition Kornelius Nielsch Institute of Applied Physics, University of Hamburg (Germany) knielsch@physnet.uni-hamburg.de Outline History and Principle Ferromagnetic

More information

Marin Alexe. Personal Information:

Marin Alexe. Personal Information: Max Planck Institute of Microstructure Physics Weinberg 2 D-06120 Halle (Saale) Germany Tel: +49-345-5582-705 Fax: +49-345-5511-223 email: malexe@mpi-halle.de http://ww.mpi-halle.de/~malexe/ Marin Alexe

More information

Program and Lecture Schedule of International Workshop on Hybrid Perovskite. Photovoltaic and Optoelectronic Devices (Tentative**)

Program and Lecture Schedule of International Workshop on Hybrid Perovskite. Photovoltaic and Optoelectronic Devices (Tentative**) Program and Lecture Schedule of International Workshop on Hybrid Perovskite Photovoltaic and Optoelectronic Devices (Tentative**) 8:45-9:30 am 9:30-10:45 am 10:45-11:15 am - 12:00 12:00-1:15 2:15 2:15-3:30

More information

Towards Graphene-based heterojunction devices for microelectronic applications

Towards Graphene-based heterojunction devices for microelectronic applications Towards Graphene-based heterojunction devices for microelectronic applications IHP GmbH Leibniz (Innovations for High Performance Microelectronics) TU-Dresden/IHM (Institute of Semiconductors and Microsystems)

More information

Marin Alexe. Ph.D. in Physics, Institute of Atomic Physics, Bucharest, Romania, 1995 Dipl.- Eng. in Physics, University of Bucharest, 1985

Marin Alexe. Ph.D. in Physics, Institute of Atomic Physics, Bucharest, Romania, 1995 Dipl.- Eng. in Physics, University of Bucharest, 1985 Marin Alexe University of Warwick Department of Physics Gibbet Hill Road CV4 7AL Coventry UK Tel: +44-24-76528063 email: m.alexe@warwick.ac.uk http://www2.warwick.ac.uk/fac/sci/physics/staff/academic/marinalexe/

More information

Graphene Fundamentals and Emergent Applications

Graphene Fundamentals and Emergent Applications Graphene Fundamentals and Emergent Applications Jamie H. Warner Department of Materials University of Oxford Oxford, UK Franziska Schaffel Department of Materials University of Oxford Oxford, UK Alicja

More information

Atomic Layer Deposition for Continuous Roll-to-Roll Processing

Atomic Layer Deposition for Continuous Roll-to-Roll Processing Atomic Layer Deposition for Continuous Roll-to-Roll Processing S.M. George, P.R. Fitzpatrick, and Z.M. Gibbs, Departments of Chemistry and Chemical Engineering, University of Colorado, Boulder, CO ABSTRACT

More information

MaxCaps Next Generation Dielectrics for Integrated Capacitors

MaxCaps Next Generation Dielectrics for Integrated Capacitors MaxCaps Next Generation Dielectrics for Integrated Capacitors Guenther Ruhl Infineon Technologies AG Σ! 2365 Semicon Europa 2011 Dresden, October 11, 2011 October 11, 2011 1 Outline Introduction MaxCaps

More information

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 Postdoctoral Research Associate Center for Low Energy Systems Technology (LEAST), Department of Electrical Engineering University of Notre Dame, B20

More information

GRAPHENE CONNECT. New Materials and Devices

GRAPHENE CONNECT. New Materials and Devices GRAPHENE CONNECT New Materials and Devices Thursday, 28 September 2017, 14:00-19:00 taking place during Graphene Week 2017 Divani Caravel Hotel, Room Horizon, Floor R.G. Athens, Greece Funded by the European

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

Theory of Hydrogen-Related Levels in Semiconductors and Oxides

Theory of Hydrogen-Related Levels in Semiconductors and Oxides Theory of Hydrogen-Related Levels in Semiconductors and Oxides Chris G. Van de Walle Materials Department University of California, Santa Barbara Acknowledgments Computations J. Neugebauer (Max-Planck-Institut,

More information

Wafer-scale fabrication of graphene

Wafer-scale fabrication of graphene Wafer-scale fabrication of graphene Sten Vollebregt, MSc Delft University of Technology, Delft Institute of Mircosystems and Nanotechnology Delft University of Technology Challenge the future Delft University

More information

2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES

2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES www.2dfun.eu 2DFUN - 2D FUNCTIONAL MX 2 /GRAPHENE HETERO-STRUCTURES FLAG-ERA JTC 2015 PROJECT KICK-OFF APRIL 13, 2016, BUDAPEST, HUNGARY, PROJECT COORDINATOR 2D MATERIALS GRAPHENE AND BEYOND VISION AND

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Atomic Layer Deposition of Nanostructured Materials

Atomic Layer Deposition of Nanostructured Materials Edited by Nicola Pinna and Mato Knez Atomic Layer Deposition of Nanostructured Materials WILEY- VCH WILEY-VCH Verlag GmbH gc. Co. KGaA Contents Foreword V Preface XV/I Introduction XXI List of Contributors

More information

Low temperature atomic layer deposition of cobalt oxide as an effective catalyst for photoelectrochemical water splitting devices

Low temperature atomic layer deposition of cobalt oxide as an effective catalyst for photoelectrochemical water splitting devices Low temperature atomic layer deposition of cobalt oxide as an effective catalyst for photoelectrochemical water splitting devices Jiyeon Kim, a Tomi Iivonen, b Jani Hämäläinen, b Marianna Kemell, b Kristoffer

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

TechARENA: Advanced Materials Session2

TechARENA: Advanced Materials Session2 TechARENA: Advanced Materials Session2 J. Dekoster Program Manager imec, Leuven, Belgium Biography Johan Dekoster received the M.S. degree in Exact Sciences (Physics) in 1988 from the KU Leuven, Belgium.

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

ALD & ALE Tutorial Speakers and Schedule

ALD & ALE Tutorial Speakers and Schedule ALD & ALE Tutorial Speakers and Schedule Sunday, July 29, 2018 1:00-1:05 Tutorial Welcome 1:05-1:50 1:50-2:35 2:35-3:20 Challenges of ALD Applications in Memory Semiconductor Devices, Choon Hwan Kim (SK

More information

Manufacture of Nanostructures for Power Electronics Applications

Manufacture of Nanostructures for Power Electronics Applications Manufacture of Nanostructures for Power Electronics Applications Brian Hunt and Jon Lai Etamota Corporation 2672 E. Walnut St. Pasadena, CA 91107 APEC, Palm Springs Feb. 23rd, 2010 1 Background Outline

More information

Session V: Graphene. Matteo Bruna CAMBRIDGE UNIVERSITY DEPARTMENT OF ENGINEERING

Session V: Graphene. Matteo Bruna CAMBRIDGE UNIVERSITY DEPARTMENT OF ENGINEERING Session V: Graphene Matteo Bruna Graphene: Material in the Flatland Graphite Graphene Properties: Thinnest imaginable material Good(and tunable) electrical conductor Strongest ever measured Stiffest known

More information

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors Shih-Ching Lo 1, Yiming Li 2,3, and Jyun-Hwei Tsai 1 1 National Center for High-Performance

More information

Si/GaAs heterostructures fabricated by direct wafer bonding

Si/GaAs heterostructures fabricated by direct wafer bonding Mat. Res. Soc. Symp. Proc. Vol. 681E 2001 Materials Research Society Si/GaAs heterostructures fabricated by direct wafer bonding Viorel Dragoi, Marin Alexe, Manfred Reiche, Ionut Radu, Erich Thallner 1,

More information

University of Regensburg & Tohoku University

University of Regensburg & Tohoku University University of Regensburg & Tohoku University Ceremony Commemorating the University Level Agreement and MoU of Jointly Supervised Ph.D. March 28, 2017 11:30 am - 1:00 pm 11:30-12:00 Introduction of Each

More information

SyreNe System Reduction for Nanoscale IC Design

SyreNe System Reduction for Nanoscale IC Design System Reduction for Nanoscale Max Planck Institute for Dynamics of Complex Technical Systeme Computational Methods in Systems and Control Theory Group Magdeburg Technische Universität Chemnitz Fakultät

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Electronic Supplementary Information β-ketoiminato-based Copper(II) Complexes as CVD. Precursors for Copper and Copper Oxide Layer.

Electronic Supplementary Information β-ketoiminato-based Copper(II) Complexes as CVD. Precursors for Copper and Copper Oxide Layer. Electronic Supplementary Material (ESI) for Dalton Transactions. This journal is The Royal Society of Chemistry 2018 Electronic Supplementary Information β-ketoiminato-based Copper(II) Complexes as CVD

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of metal, dielectric, ferroelectric, and polymer thin films. We seek to understand and describe nucleation and growth

More information

Overview. Carbon in all its forms. Background & Discovery Fabrication. Important properties. Summary & References. Overview of current research

Overview. Carbon in all its forms. Background & Discovery Fabrication. Important properties. Summary & References. Overview of current research Graphene Prepared for Solid State Physics II Pr Dagotto Spring 2009 Laurene Tetard 03/23/09 Overview Carbon in all its forms Background & Discovery Fabrication Important properties Overview of current

More information

Europe NSFE October, 2018 TU Bergakademie Freiberg, Germany

Europe NSFE October, 2018 TU Bergakademie Freiberg, Germany FM Europe NSFE 2018 10-12 October, 2018, Germany Program Overview Wednesday, October 10 Time Room Event 9:00-10:30 Alte Mensa Registration Meet and Greet Snack 10:30-10:45 Welcome 10:45-12:55 Invited Talks

More information

Simulating mechanism at the atomic-scale for atomically precise deposition and etching

Simulating mechanism at the atomic-scale for atomically precise deposition and etching SEMICON TechArena session on Advanced Materials 14 November 2017 Simulating mechanism at the atomic-scale for atomically precise deposition and etching Simon D. Elliott & Ekaterina Filatova simon.elliott@tyndall.ie

More information

SYLLABUS FINDING NANO Syllabus NanoSCI DISCOVERING NANOTECHNOLOGY AND CULTURE IN GERMANY

SYLLABUS FINDING NANO Syllabus NanoSCI DISCOVERING NANOTECHNOLOGY AND CULTURE IN GERMANY 1. Syllabus NanoSCI Course title: NanoSCI - Electronic Properties of Nanoengineered Materials Catalog description: Physics and technology of nanoengineered materials and devices. Semiconductor nanostructures.

More information

Scientific Program. Opening and Welcome Victor Lopez Richard Quantum dot architecture for 15:30-16:00

Scientific Program. Opening and Welcome Victor Lopez Richard Quantum dot architecture for 15:30-16:00 Scientific Program Monday, September 18 12:00-13:00 Registration 13:00-15:00 Lunch 15:00-15:30 Opening and Welcome Victor Lopez Richard Quantum dot architecture for 15:30-16:00 memristive and memcapacitive

More information

JOHN G. EKERDT RESEARCH FOCUS

JOHN G. EKERDT RESEARCH FOCUS JOHN G. EKERDT RESEARCH FOCUS We study the surface, growth and materials chemistry of ultrathin metal and dielectric films. Our work seeks to: 1) develop and understand the reactions and chemistry that

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Syllabus Advanced Nano Materials Semiconductor Physics and Devices Textbook Donald A. Neamen (McGraw-Hill) Semiconductor Physics and Devices Seong Jun Kang Department of Advanced Materials Engineering

More information

Program. 32. Workshop. Novel Materials and Superconductivity. BSFZ Obertraun Feb Sponsored by TU Wien

Program. 32. Workshop. Novel Materials and Superconductivity. BSFZ Obertraun Feb Sponsored by TU Wien Program 32. Workshop on Novel Materials and Superconductivity BSFZ Obertraun Feb. 12. 18. 2017 Sponsored by TU Wien Location: Bundessportheim und Freizeitzentrum BSFZ Obertraun Winkl 49 (Oberösterreich,

More information

Platinum ALD from Pt(acac)2 and O3: Growth mechanism and electrocatalytic applications

Platinum ALD from Pt(acac)2 and O3: Growth mechanism and electrocatalytic applications Platinum ALD from Pt(acac)2 and O3: Growth mechanism and electrocatalytic applications Johannes Schumacher, Loïc Assaud, Alexander Tafel, Julien Bachmann Department of Chemistry and Pharmacy, Friedrich-Alexander-Universität

More information

Südliche Stadtmauerstr. 15a Tel: D Erlangen Fax:

Südliche Stadtmauerstr. 15a Tel: D Erlangen Fax: Curriculum Vitae Lionel Santinacci 19.10.1974 Nationality: French Südliche Stadtmauerstr. 15a Tel: + 49 9131 852 7587 D-91054 Erlangen Fax: + 49 9131 852 7582 Germany e-mail: lionel@ww.uni-erlangen.de

More information

SFB/Transregio 21. Workshop CO.CO.MAT Common perspectives of mesoscopic systems and quantum gases

SFB/Transregio 21. Workshop CO.CO.MAT Common perspectives of mesoscopic systems and quantum gases /Transregio 21 Workshop 2008 CO.CO.MAT Common perspectives of mesoscopic systems and quantum gases December, 2nd 6th, 2008 Schloss Reisensburg, Günzburg Arrival: Tuesday, December 2nd (dinner: 18:00 h)

More information

Call for Papers. 3 Steps to Contribute a Presentation. Submit. Submission Deadline: June 26 (Tue.), 2018 (17:00, JST)

Call for Papers. 3 Steps to Contribute a Presentation. Submit. Submission Deadline: June 26 (Tue.), 2018 (17:00, JST) Call for Papers 3 Steps to Contribute a Presentation Join JSAP Submit Register Regular Membership Admission Fee: 10,000 JPY Annual Due*: 10,000 JPY *Annual due will be waived for the first year. Graduate

More information

Nucleotide Second Messenger Signaling in Bacteria

Nucleotide Second Messenger Signaling in Bacteria Nucleotide Second Messenger Signaling in Bacteria SPP 1879 Kick-off Meeting 16-17 September, 2016, Harnack-Haus, Berlin-Dahlem, Germany Organizers: Regine Hengge, Mihaela Pruteanu & Christine Kanow-Scheel

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

"Low Temperature Plasma Physics: Basics and Applications"

Low Temperature Plasma Physics: Basics and Applications European Summer School "Low Temperature Plasma Physics: Basics and Applications" October 8 13, 2005 and "Master Class: Microplasmas" October 13 15, 2005 Physikzentrum Bad Honnef Chairman: Co-Chairman:

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 18, 2017 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Agenda. 1. Atomic Layer Deposition Technology

Agenda. 1. Atomic Layer Deposition Technology Agenda 1. Atomic Layer Deposition Technology 2. What is ALD? Atomic Layer Deposition is invented in 1977 by T. Suntola et al. - New Deposition Method for Electro-Luminescent Display (ZnS:Mn Thin Films)

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

Solid State Device Fundamentals

Solid State Device Fundamentals Solid State Device Fundamentals ENS 345 Lecture Course by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Outline - Goals of the course. What is electronic device?

More information

DS Digital CMOS Technologies/Memory Technologies/Modeling & Simulation/Compact Modeling Reviews

DS Digital CMOS Technologies/Memory Technologies/Modeling & Simulation/Compact Modeling Reviews DS Digital CMOS Technologies/Memory Technologies/Modeling & Simulation/Compact Modeling Reviews Date: Monday, April 8, 2013, 8 a.m. Thursday, April 11, 5 p.m. PT Location: University of California at Santa

More information

Caloric Effects in Ferroic Materials: New Concepts for Cooling SPP 1599

Caloric Effects in Ferroic Materials: New Concepts for Cooling SPP 1599 Sebastian Fähler, IFW Dresden Caloric Effects in Ferroic Materials: New Concepts for Cooling SPP 1599 Program committee: Jürgen Eckert, IFW Dresden Gunther Eggeler, Ruhr U. Bochum Heike Emmerich, U. Bayreuth

More information

Ph D in Physics from the University of Toulouse (UPS) and Post Graduate Diploma in research (HDR) from University of Grenoble (France).

Ph D in Physics from the University of Toulouse (UPS) and Post Graduate Diploma in research (HDR) from University of Grenoble (France). Aziz ZENASNI Ph D in Physics from the University of Toulouse (UPS) and Post Graduate Diploma in research (HDR) from University of Grenoble (France). Currently a Research Staff Member of CEA-LETI, he has

More information

EE143 Fall 2016 Microfabrication Technologies. Evolution of Devices

EE143 Fall 2016 Microfabrication Technologies. Evolution of Devices EE143 Fall 2016 Microfabrication Technologies Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 Evolution of Devices Yesterday s Transistor (1947) Today s Transistor (2006) 1-2 1 Why

More information

"Low Temperature Plasma Physics: Basics and Applications" "Master Class: Plasma Coatings on Polymers"

Low Temperature Plasma Physics: Basics and Applications Master Class: Plasma Coatings on Polymers European Summer School "Low Temperature Plasma Physics: Basics and Applications" October 8 13, 2011 and "Master Class: Plasma Coatings on Polymers" October 13 15, 2011 Physikzentrum Bad Honnef Chairmen:

More information

Novel Tooling for Scaling of High Quality CVD Graphene Production. Karlheinz Strobl, Mathieu Monville, Riju Singhal and Samuel Wright

Novel Tooling for Scaling of High Quality CVD Graphene Production. Karlheinz Strobl, Mathieu Monville, Riju Singhal and Samuel Wright Novel Tooling for Scaling of High Quality CVD Graphene Production Karlheinz Strobl, Mathieu Monville, Riju Singhal and Samuel Wright 1 Commercialization of Nano Materials Commercialization Volume production

More information

Nanoporous Gold From an Ancient Technology to a

Nanoporous Gold From an Ancient Technology to a Nanoporous Gold From an Ancient Technology to a High-Tech Material Edited by Arne Wittstock Nanoscale Synthesis and Characterization Laboratory, Livermore, CA, USA; Institute of Applied and Physical Chemistry,

More information

Bratislava, Slovak Republic.

Bratislava, Slovak Republic. INTEGRATION OF ATOMIC LAYER DEPOSITED AL 2 O 3 DIELECTRICS WITH GRAPHENE Jana Brndiarová 1, Karol Fröhlich 1, Martin Hulman 1, Alica Rosová 1, Edmund Dobročka 1,Tauno Kahro 2, Jaan Aarik 2 1 Institute

More information

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Semiconductor A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Page 2 Semiconductor materials Page 3 Energy levels

More information

Carbon Nanomaterials: Nanotubes and Nanobuds and Graphene towards new products 2030

Carbon Nanomaterials: Nanotubes and Nanobuds and Graphene towards new products 2030 Carbon Nanomaterials: Nanotubes and Nanobuds and Graphene towards new products 2030 Prof. Dr. Esko I. Kauppinen Helsinki University of Technology (TKK) Espoo, Finland Forecast Seminar February 13, 2009

More information

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

TRANSVERSE SPIN TRANSPORT IN GRAPHENE International Journal of Modern Physics B Vol. 23, Nos. 12 & 13 (2009) 2641 2646 World Scientific Publishing Company TRANSVERSE SPIN TRANSPORT IN GRAPHENE TARIQ M. G. MOHIUDDIN, A. A. ZHUKOV, D. C. ELIAS,

More information

SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 200 MM WAFER

SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 200 MM WAFER 1.1149/1.229339, copyright The Electrochemical Society SCALE-UP OF THE BARIUM TITANATE ATOMIC LAYER DEPOSITION PROCESS ONTO 2 MM WAFER R. Matero, A. Rahtu, S. Haukka, M. Tuominen, M. Vehkamäki 1, T. Hatanpää

More information

ALD Nucleation and Area-Selective Deposition

ALD Nucleation and Area-Selective Deposition ALD Nucleation and Area-Selective Deposition Prof Gregory N. Parsons Department of Chemical and Biomolecular Engineering North Carolina State University Raleigh North Carolina USA 1 Outline 1. Nucleation

More information

Déposition séléctive le rêve reviens

Déposition séléctive le rêve reviens Willkommen Welcome Bienvenue Déposition séléctive le rêve reviens Patrik Hoffmann Michael Reinke, Yury Kuzminykh Ivo Utke, Carlos Guerra-Nunez, Ali Dabirian, Xavier Multone, Tristan Bret, Estelle Halary-Wagner,

More information

MCC026:Nanoscience. at the border between chemistry and physics. Samuel Lara-Avila

MCC026:Nanoscience. at the border between chemistry and physics. Samuel Lara-Avila MCC026:Nanoscience at the border between chemistry and physics Samuel Lara-Avila Quantum Device Physics Lab Department of Microtechnology and Nanoscience (MC2) November, 2016 Nanoscience Femto 10-15 Nano:

More information

3D2.7: di/dv characteristics of NOR and NAND QHC atomic logic gate

3D2.7: di/dv characteristics of NOR and NAND QHC atomic logic gate AtMol Deliverable Reports 3D2.7: di/dv characteristics of NOR and NAND QHC atomic logic gate Unit 3: Atomic scale construction and simple testing Lead participant: P10 (JUK Krakow) WP 3.2: On-surface atomic

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Review of Semiconductor Fundamentals

Review of Semiconductor Fundamentals ECE 541/ME 541 Microelectronic Fabrication Techniques Review of Semiconductor Fundamentals Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Page 1 Semiconductor A semiconductor is an almost insulating material,

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor Low Frequency Noise in MoS Negative Capacitance Field-effect Transistor Sami Alghamdi, Mengwei Si, Lingming Yang, and Peide D. Ye* School of Electrical and Computer Engineering Purdue University West Lafayette,

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

SFB/Transregio nd International Summerschool 2009

SFB/Transregio nd International Summerschool 2009 SFB/Transregio 21 2 nd International Summerschool 2009 September, 22 nd 24 th 2009 Heinrich-Fabri-Institut, Blaubeuren 22.09.2009 Semiconductors (Chair: J. Wrachtrup, F. Jelezko) 23.09.2009 Ultracold atoms

More information

Micro Chemical Vapor Deposition System: Design and Verification

Micro Chemical Vapor Deposition System: Design and Verification Micro Chemical Vapor Deposition System: Design and Verification Q. Zhou and L. Lin Berkeley Sensor and Actuator Center, Department of Mechanical Engineering, University of California, Berkeley 2009 IEEE

More information

FRAUNHOFER IISB STRUCTURE SIMULATION

FRAUNHOFER IISB STRUCTURE SIMULATION FRAUNHOFER IISB STRUCTURE SIMULATION Eberhard Bär eberhard.baer@iisb.fraunhofer.de Page 1 FRAUNHOFER IISB STRUCTURE SIMULATION Overview SiO 2 etching in a C 2 F 6 plasma Ga ion beam sputter etching Ionized

More information

Solid State Device Fundamentals

Solid State Device Fundamentals Solid State Device Fundamentals ENS 345 Lecture Course by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Outline - Goals of the course. What is electronic device?

More information

SFB/Transregio 21. Workshop CO.CO.MAT Common perspectives of mesoscopic systems and quantum gases

SFB/Transregio 21. Workshop CO.CO.MAT Common perspectives of mesoscopic systems and quantum gases /Transregio 21 Workshop 2014 CO.CO.MAT Common perspectives of mesoscopic systems and quantum gases October, 5 th 8 th, 2014 Schloss Reisensburg, Günzburg Arrival: Departure (non ): Departure (): Sunday,

More information

Magnetic Nanowires inside. carbon nanotubes

Magnetic Nanowires inside. carbon nanotubes Leibniz Institute for Solid State and Materials Research IFW Dresden Magnetic Nanowires inside Carbon Nanotubes Magnetic force microscopy sensors using ironfilled carbon nanotubes Thomas Mühl Outline Introduction

More information

Real-time and in-line Optical monitoring of Functional Nano-Layer Deposition on Flexible Polymeric Substrates

Real-time and in-line Optical monitoring of Functional Nano-Layer Deposition on Flexible Polymeric Substrates Real-time and in-line Optical monitoring of Functional Nano-Layer Deposition on Flexible Polymeric Substrates S. Logothetidis Lab for Thin Films, Nanosystems & Nanometrology, Aristotle University of Thessaloniki,

More information

EECS143 Microfabrication Technology

EECS143 Microfabrication Technology EECS143 Microfabrication Technology Professor Ali Javey Introduction to Materials Lecture 1 Evolution of Devices Yesterday s Transistor (1947) Today s Transistor (2006) Why Semiconductors? Conductors e.g

More information

Optical Spectroscopies of Thin Films and Interfaces. Dietrich R. T. Zahn Institut für Physik, Technische Universität Chemnitz, Germany

Optical Spectroscopies of Thin Films and Interfaces. Dietrich R. T. Zahn Institut für Physik, Technische Universität Chemnitz, Germany Optical Spectroscopies of Thin Films and Interfaces Dietrich R. T. Zahn Institut für Physik, Technische Universität Chemnitz, Germany 1. Introduction 2. Vibrational Spectroscopies (Raman and Infrared)

More information

Catalytic materials for plasma-based VOC removal

Catalytic materials for plasma-based VOC removal Catalytic materials for plasma-based VOC removal David Cameron, Tatyana Ivanova, Marja-Leena Kääriäinen Advanced Surface Technology Research Laboratory (ASTRaL) Lappeenranta University of Technology Finland

More information

GLADIATOR. Deliverable Report

GLADIATOR. Deliverable Report grant number 604000 Large scale Integrating Collaborative Project Deliverable Report Work Package Leader AUTh Deliverable Number 10.2 Title Name of Author Contributing Project Partners of Deliverable International

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

! Previously: simple models (0 and 1 st order) " Comfortable with basic functions and circuits. ! This week and next (4 lectures)

! Previously: simple models (0 and 1 st order)  Comfortable with basic functions and circuits. ! This week and next (4 lectures) ESE370: CircuitLevel Modeling, Design, and Optimization for Digital Systems Lec 6: September 14, 2015 MOS Model You are Here: Transistor Edition! Previously: simple models (0 and 1 st order) " Comfortable

More information

Small-molecule OPV: From the Lab to Roll-to-Roll Production. Company Presentation 1

Small-molecule OPV: From the Lab to Roll-to-Roll Production. Company Presentation 1 Small-molecule OPV: From the Lab to Roll-to-Roll Production Company Presentation 1 Organic solar cells + - Metal contact 100nm 1g/m² Organic semiconductor layers 250nm transparent contact (TCO) 100nm Substrate

More information

Deposition of exchange-coupled dinickel complexes. on gold substrates utilizing ambidentate mercaptocarboxylato

Deposition of exchange-coupled dinickel complexes. on gold substrates utilizing ambidentate mercaptocarboxylato Deposition of exchange-coupled dinickel complexes on gold substrates utilizing ambidentate mercaptocarboxylato ligands Martin Börner 1, Laura Blömer 1, Marcus Kischel 1, Peter Richter 2, Georgeta Salvan

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1. fabrication. A schematic of the experimental setup used for graphene Supplementary Figure 2. Emission spectrum of the plasma: Negative peaks indicate an

More information

Homework #1 - September 9, Due: September 16, 2005 at recitation ( 2 PM latest) (late homework will not be accepted)

Homework #1 - September 9, Due: September 16, 2005 at recitation ( 2 PM latest) (late homework will not be accepted) Fall 2005 6.012 Microelectronic Devices and Circuits Prof. J. A. del Alamo Homework #1 - September 9, 2005 Due: September 16, 2005 at recitation ( 2 PM latest) (late homework will not be accepted) Please

More information

Steep-slope WSe 2 Negative Capacitance Field-effect Transistor

Steep-slope WSe 2 Negative Capacitance Field-effect Transistor Supplementary Information for: Steep-slope WSe 2 Negative Capacitance Field-effect Transistor Mengwei Si, Chunsheng Jiang, Wonil Chung, Yuchen Du, Muhammad A. Alam, and Peide D. Ye School of Electrical

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Towards wafer-size graphene layers by atmospheric pressure graphitization of silicon carbide Supporting online material Konstantin V. Emtsev 1, Aaron Bostwick 2, Karsten Horn

More information

Fundamental insight into ALD processing by in-

Fundamental insight into ALD processing by in- Fakultät Elektrotechnik und Informationstechnik Institut für Halbleiter- und Mikrosystemtechnik Fundamental insight into ALD processing by in- situ observation Johann W. Bartha M. Albert, M. Junige and

More information

ConceptGraphene. Small or medium-scale focused research project. WP4 Spin transport devices

ConceptGraphene. Small or medium-scale focused research project. WP4 Spin transport devices ConceptGraphene New Electronics Concept: Wafer-Scale Epitaxial Graphene Small or medium-scale focused research project WP4 Spin transport devices Deliverable 4.1 Report on spin transport in graphene on

More information

High-density data storage: principle

High-density data storage: principle High-density data storage: principle Current approach High density 1 bit = many domains Information storage driven by domain wall shifts 1 bit = 1 magnetic nanoobject Single-domain needed Single easy axis

More information

WINTERSCHOOL ON ORGANIC ELECTRONICS Fundamental Properties of Devices Sensors, Transistors and Solar Cells

WINTERSCHOOL ON ORGANIC ELECTRONICS Fundamental Properties of Devices Sensors, Transistors and Solar Cells WINTERSCHOOL ON ORGANIC ELECTRONICS March 6 th March 12 th, 2010 Universitäts-Sportheim Planneralm Donnersbach, Austria March 6th March 7th March 8th March 9th March 10th March 11th March 12th Saturday

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5966/662/dc Supporting Online Material for 00-GHz Transistors from Wafer-Scale Epitaxial Graphene Y.-M. Lin,* C. Dimitrakopoulos, K. A. Jenkins, D. B. Farmer, H.-Y.

More information

B7.3. Field Effect SnO2 Nano-Thin Film Layer CMOS-Compatible

B7.3. Field Effect SnO2 Nano-Thin Film Layer CMOS-Compatible B7.3 Field Effect SnO2 Nano-Thin Film Layer CMOS-Compatible J.J. Velasco-Vélez 1, A. Chaiyboun 1, Ch. Wilbertz 2, J. Wöllenstein 3, M. Bauersfeld 3 and Th. Doll 1 Johannes-Gutenberg-University Mainz 1,

More information

Advances in nanostructuring of titania thin films for dye-sensitized and hybrid photovoltaics

Advances in nanostructuring of titania thin films for dye-sensitized and hybrid photovoltaics Advances in nanostructuring of titania thin films for dye-sensitized and hybrid photovoltaics Monika Rawolle, M. A. Niedermeier, K. Sarkar, P. Lellig 1, J. S. Gutmann 1, T. Fröschl 2, N. Hüsing 2, J. Perlich

More information

Ultra Precision Manufacturing

Ultra Precision Manufacturing CIOMP WorkShop Ultra Precision Manufacturing of Aspheres and Freeforms CiOMP UPM workshops bring together scientists, manufacturers and vendors in a collaborative and informative environment. Traditionally,

More information