Recent progress in MOCVD Technology for Electronic and Optoelectronic Devices

Size: px
Start display at page:

Download "Recent progress in MOCVD Technology for Electronic and Optoelectronic Devices"

Transcription

1 AIXTRON SE Recent progress in MOCVD Technology for Electronic and Optoelectronic Devices Prof Dr.-Ing. Michael Heuken 1,2 Vice President Corporate Research&Development 1) AIXTRON SE, Dornkaulstr. 2, Herzogenrath, Germany 2) RWTH-Aachen University, Templergraben 55, Aachen, Germany

2 Contents Introduction State of the art MOCVD Energy saving by using GaN/AlGaN HEMT Micro LED for Displays Conclusion and Takeaway

3 The revolution in mobile telephony 3

4 Compound semiconductor for power control 4

5 5 Market opportunities for compound semiconductor

6 Principle of MOVPE Ga(CH 3 ) 3 + AsH 3 GaAs +3CH 4

7 Basic understanding of the Planetary Reactor carrier + group V elements carrier + group III elements + dopants wafer injector rotating infrared/inductive heated wafer carrier quartz glass ceiling radial and horizontal flow of gases above rotating substrates

8 Gas transport mechanisms in the Planetary Reactor 8 Group V Group III Group V forced convection flux (by main flow) diffusion flux Triple injector Resulting growth profile Dominant species fluxes: J convect U Y MO on rotated wafer J diff D Y MO J = MO species mass flux = gas density U = local flow velocity Distance from center Y MO = mass fraction of MO D = diffusion coefficient Depletion of group-iii species results in flat growth rate profile by disc rotation Uniformity controlled by balance of convective and diffusive fluxes M.Heuken et al. JCG 303 (2007) 318

9 G5+: Single Wafer performance w Batch reactor cost benefits 9 G5+ 5x200 mm NO COMPROMISE IN TUNING: Individual wafer rotation and GFR flow control = good on-wafer uniformity by design Injector enabling full tuning flexibility ADVANCED YIELD MANAGEMENT: Single wafer rotation, combined with radial horizontal gas flow Reset of reactor each run with Cl 2 in-situ cleaning No Part Exchange Excellent Run-to-Run Stability Temperature matching between each individual wafers, within a run and run to run

10 AIX G5+ C: CS High-Volume Manufacturing Award Requirements for MOCVD in HVM: Cassette-to-Cassette 150/200 mm Si wafer automation for fab integration and throughput In-situ Reactor Cleaning for process robustness and yield G5+ C Cassette-to-Cassette dual module cluster On-wafer temperature process control for highest reproducibility and yield High throughput for lowest Cost-ofownership (COO) Qualified device level layer processes for fast time to market Cassette-to-Cassette Module Vacuum Robot

11 AIXTRON G5+ C PLANETARY REACTOR 11 Power Transistor: 100x SL + Active Layers 100x SL buffer to target 650 V Increased carbon level in SL by means of autodoping Reference D-Mode device stack with active layers Reflectivity Curvature Total thickness: ~5.0 µm Bow X (µm) Bow Y (µm) Sample C No strain relaxation even with 100x SL RT bow comparable to 50x SL

12 AIXTRON G5+ C PLANETARY REACTOR 12 Thickness and AlGaN Barrier Al Composition Mapping White Light Interference: Photoluminescence: Mean thickness: 4.5 µm* STD: 0.4% (3mm EE) *Note: WLI underestimates actual thickness by ~10% due to refractive index averaging Mean Al concentration: 27.4% STD: 0.50% abs. (3mm EE) Hall data: R sheet (W/sq) Carrier density (10 12 cm -2 ) Carrier mobility (cm 2 /Vs) G5+ C features excellent uniformities for both thickness and AlGaN barrier Al composition

13 AIXTRON G5+ C PLANETARY REACTOR 13 I-V Breakdown Characteristics Current (A/mm 2 ) T = 25 C 1 µa/mm 2 Forward Reverse Current (A/mm 2 ) T = 150 C Forward Reverse Voltage (V) Voltage (V) 800 V / 900 V 600 V / 770 V 650 V specification met at room temperature / very close at 150 C

14 AIXTRON G5+ C PLANETARY REACTOR 14 E-Mode HEMT: Output Characteristics and R on Mapping Power transistor (W g = 36 mm): 400 V gs = On-resistance (R on ) mapping (Wmm): I d (ma/mm) V ds (V) Max current of 13 A in the device Uniform on-resistance across entire wafer diameter

15 Intra & Inter wafer layer thickness uniformity & reproducibility 15 Inter-Run Intra & Inter-Wafer Mean Thickness in µm Intra-wafer uniformity: σ/mean=0.44% Inter-run uniformity: σ/mean=0.24% Inherent process reproducibility delivers highest onwafer performance at high throughput!

16 Selected products market penetration 16 Sources: Texas Instruments, Electronic Products

17 Micro LED and it s potential as emerging display technology Micro LED opens a new field of opportunities Large Size Displays Brightness -> Small pixels -> High contrast ratio Head Up Display -> High Dynamic Range -> High Definition TV Display -> Color Gamut -> Interactive Smart Watches Brightness Efficiency -> battery lifetime Augmented Reality Brightness -> compete with ambient light Smartphone time Brightness -> battery lifetime -> pixels much smaller than pitch allow integration of sensor matrix

18 Larger Wafer Sizes Required for Micro LED Processing Assume: 10mm x 10mm Stamps with Pixels for Transfer 4 wafer 6 wafer 52 stamps 10mm x 10mm 3mm E.E. 137 stamps 10mm x 10mm 3mm E.E. Fill Factor = 75% Fill Factor = 84% Courtesy: CALY Technologies Larger wafer sizes improve wafer utilization 6 or 8 Requirement driven by Back End Technology Shift

19 MFC MFC MFC MFC MFC Pyro Individual Wafer Temperature Control Topside Temperature Measurement Flying Height Temperature Satelite Wafer Temperature measured by a UV Pyrometer Gas foil rotation is individually controlled for each satellite (wafer). Flying height of satellite over the main disc determines the temperature adjusted by individual flow rate. Individual Flow for each Satellite (Wafer)

20 PL Wavelength Uniformity Results for Blue LED InGaN /GaN MQW on sapphire in 8x6 configuration 2nm W/W ~ 0.40nm R/R ~ 0.30nm DOM = 450.9nm = 0.50nm (3mm e.e.) on-w 0.55nm BLUE mled

21 PL Wavelength Uniformity Results for Green LED InGaN /GaN MQW on sapphire in 8x6 configuration 1.7nm W/W ~ 0.30nm R/R ~ 0.20nm DOM = 525.9nm = 0.60nm (3mm e.e.) on-w ~ 0.75nm GREEN mled

22 PL Wavelength Uniformity Results for Red LED GaInP /AlGaInP MQW on GaAs in 8x6 configuration 1.5nm W/W ~ 0.30nm R/R ~ 0.25nm DOM = 627.0nm = 0.32nm (3mm e.e.) on-w 0.40nm RED mled

23 Summary & Key Take Aways 23 AIXTRON is developing a complete CVD manufacturing solution for compound semiconductor based on existing production technology GaN based Transistors for Power and Microwave Applications R/G/B Micro LED production technology for future Displays Business target: Next Generation MOCVD for HVM

24 Thank you very much for your attention. If you have any further questions or require more information, please contact us at: Professor Dr. M. Heuken AIXTRON SE Dornkaulstr Herzogenrath Germany Phone +49 (2407) Fax +49 (2407) E-Mai info@aixtron.com

Semiconductor nanostructures grown in production MOVPE reactors

Semiconductor nanostructures grown in production MOVPE reactors 7th Int. Symp. "Nanostructures: Physics and Technology" St Petersburg, Russia, June 14-18, 1999 1999 loffe Institute NT.01 i Semiconductor nanostructures grown in production MOVPE reactors Michael Heuken

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Identification and Quantification of Impurities Critical to the Performance of Nitride Semiconductor Devices

Identification and Quantification of Impurities Critical to the Performance of Nitride Semiconductor Devices Identification and Quantification of Impurities Critical to the Performance of Nitride Semiconductor Devices R. Torres*, J. Vininski, C. Wyse, Advanced Technology Center, Matheson-Trigas, Inc., Longmont,

More information

Alternative deposition solution for cost reduction of TSV integration

Alternative deposition solution for cost reduction of TSV integration Alternative deposition solution for cost reduction of TSV integration J. Vitiello, F. Piallat, L. Bonnet KOBUS 611 rue Aristide Bergès, Z.A. de Pré Millet, Montbonnot-Saint-Martin, 38330 France Ph: +33

More information

EE130: Integrated Circuit Devices

EE130: Integrated Circuit Devices EE130: Integrated Circuit Devices (online at http://webcast.berkeley.edu) Instructor: Prof. Tsu-Jae King (tking@eecs.berkeley.edu) TA s: Marie Eyoum (meyoum@eecs.berkeley.edu) Alvaro Padilla (apadilla@eecs.berkeley.edu)

More information

Spring Semester 2012 Final Exam

Spring Semester 2012 Final Exam Spring Semester 2012 Final Exam Note: Show your work, underline results, and always show units. Official exam time: 2.0 hours; an extension of at least 1.0 hour will be granted to anyone. Materials parameters

More information

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Center for High Performance Power Electronics Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development Dr. Wu Lu (614-292-3462, lu.173@osu.edu) Dr. Siddharth Rajan

More information

Optical Investigation of the Localization Effect in the Quantum Well Structures

Optical Investigation of the Localization Effect in the Quantum Well Structures Department of Physics Shahrood University of Technology Optical Investigation of the Localization Effect in the Quantum Well Structures Hamid Haratizadeh hamid.haratizadeh@gmail.com IPM, SCHOOL OF PHYSICS,

More information

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield 2D MBE Activities in Sheffield I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield Outline Motivation Van der Waals crystals The Transition Metal Di-Chalcogenides

More information

Manufacture of Nanostructures for Power Electronics Applications

Manufacture of Nanostructures for Power Electronics Applications Manufacture of Nanostructures for Power Electronics Applications Brian Hunt and Jon Lai Etamota Corporation 2672 E. Walnut St. Pasadena, CA 91107 APEC, Palm Springs Feb. 23rd, 2010 1 Background Outline

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements HW#3 is assigned due Feb. 20 st Mid-term exam Feb 27, 2PM

More information

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer

Wafer holders. Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Wafer holders Mo- or Ta- made holders Bonding: In (Ga), or In-free (clamped) Quick and easy transfer Image: In-free, 3-inch sample holder fitting a quarter of a 2- inch wafer Reflection High Energy Electron

More information

MOCVD Carrier Emissivity and Temperature Uniformity Characterization

MOCVD Carrier Emissivity and Temperature Uniformity Characterization Carrier emissivity and temperature maps reveal carrier micro cracks and emissivity variation that can directly affect thin-film deposition and device performance Introduction and Motivation MOCVD wafer

More information

Contactless Mobility, Carrier Density, and Sheet Resistance Measurements on Si, GaN, and AlGaN/GaN High Electron Mobility Transistor (HEMT) Wafers

Contactless Mobility, Carrier Density, and Sheet Resistance Measurements on Si, GaN, and AlGaN/GaN High Electron Mobility Transistor (HEMT) Wafers ARL-TR-7209 FEB 2015 US Army Research Laboratory Contactless Mobility, Carrier Density, and Sheet Resistance Measurements on Si, GaN, and AlGaN/GaN High Electron Mobility Transistor (HEMT) Wafers by Randy

More information

Classification of Solids

Classification of Solids Classification of Solids Classification by conductivity, which is related to the band structure: (Filled bands are shown dark; D(E) = Density of states) Class Electron Density Density of States D(E) Examples

More information

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy Yutaka Tokuda Department of Electrical and Electronics Engineering, Aichi Institute of Technology,

More information

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University

MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University MSE 310/ECE 340: Electrical Properties of Materials Fall 2014 Department of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Quantum and Non-local Transport Models in Crosslight Device Simulators. Copyright 2008 Crosslight Software Inc.

Quantum and Non-local Transport Models in Crosslight Device Simulators. Copyright 2008 Crosslight Software Inc. Quantum and Non-local Transport Models in Crosslight Device Simulators Copyright 2008 Crosslight Software Inc. 1 Introduction Quantization effects Content Self-consistent charge-potential profile. Space

More information

Emission Spectra of the typical DH laser

Emission Spectra of the typical DH laser Emission Spectra of the typical DH laser Emission spectra of a perfect laser above the threshold, the laser may approach near-perfect monochromatic emission with a spectra width in the order of 1 to 10

More information

Overview. Carbon in all its forms. Background & Discovery Fabrication. Important properties. Summary & References. Overview of current research

Overview. Carbon in all its forms. Background & Discovery Fabrication. Important properties. Summary & References. Overview of current research Graphene Prepared for Solid State Physics II Pr Dagotto Spring 2009 Laurene Tetard 03/23/09 Overview Carbon in all its forms Background & Discovery Fabrication Important properties Overview of current

More information

TEPZZ 7676 ZA_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION

TEPZZ 7676 ZA_T EP A1 (19) (11) EP A1 (12) EUROPEAN PATENT APPLICATION (19) TEPZZ 7676 ZA_T (11) EP 2 767 6 A1 (12) EUROPEAN PATENT APPLICATION (43) Date of publication:.08.14 Bulletin 14/34 (21) Application number: 131.1 (1) Int Cl.: CB 2/18 (06.01) CB 29/ (06.01) H01L 29/267

More information

Appendix 1: List of symbols

Appendix 1: List of symbols Appendix 1: List of symbols Symbol Description MKS Units a Acceleration m/s 2 a 0 Bohr radius m A Area m 2 A* Richardson constant m/s A C Collector area m 2 A E Emitter area m 2 b Bimolecular recombination

More information

Marcus Klein, SURAGUS GmbH

Marcus Klein, SURAGUS GmbH RPGR2017 21.09.2017 Singapore Marcus Klein, SURAGUS GmbH SURAGUS as company and as EU Gladiator Challenges for industrial Graphene applications Example application graphene as TCM Quality characteristics

More information

Avalanche breakdown. Impact ionization causes an avalanche of current. Occurs at low doping

Avalanche breakdown. Impact ionization causes an avalanche of current. Occurs at low doping Avalanche breakdown Impact ionization causes an avalanche of current Occurs at low doping Zener tunneling Electrons tunnel from valence band to conduction band Occurs at high doping Tunneling wave decays

More information

Electrical Degradation of InAlAs/InGaAs Metamorphic High-Electron Mobility Transistors

Electrical Degradation of InAlAs/InGaAs Metamorphic High-Electron Mobility Transistors Electrical Degradation of InAlAs/InGaAs Metamorphic High-Electron Mobility Transistors S. D. Mertens and J.A. del Alamo Massachusetts Institute of Technology Sponsor: Agilent Technologies Outline Introduction

More information

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes

Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Final Examination EE 130 December 16, 1997 Time allotted: 180 minutes Problem 1: Semiconductor Fundamentals [30 points] A uniformly doped silicon sample of length 100µm and cross-sectional area 100µm 2

More information

Semiconductors and Optoelectronics. Today Semiconductors Acoustics. Tomorrow Come to CH325 Exercises Tours

Semiconductors and Optoelectronics. Today Semiconductors Acoustics. Tomorrow Come to CH325 Exercises Tours Semiconductors and Optoelectronics Advanced Physics Lab, PHYS 3600 Don Heiman, Northeastern University, 2017 Today Semiconductors Acoustics Tomorrow Come to CH325 Exercises Tours Semiconductors and Optoelectronics

More information

POLARIZATION INDUCED EFFECTS IN AlGaN/GaN HETEROSTRUCTURES

POLARIZATION INDUCED EFFECTS IN AlGaN/GaN HETEROSTRUCTURES Vol. 98 (2000) ACTA PHYSICA POLONICA A No. 3 Proceedings of the XXIX International School of Semiconducting Compounds, Jaszowiec 2000 POLARIZATION INDUCED EFFECTS IN AlGaN/GaN HETEROSTRUCTURES O. AMBACHER

More information

Novel Tooling for Scaling of High Quality CVD Graphene Production. Karlheinz Strobl, Mathieu Monville, Riju Singhal and Samuel Wright

Novel Tooling for Scaling of High Quality CVD Graphene Production. Karlheinz Strobl, Mathieu Monville, Riju Singhal and Samuel Wright Novel Tooling for Scaling of High Quality CVD Graphene Production Karlheinz Strobl, Mathieu Monville, Riju Singhal and Samuel Wright 1 Commercialization of Nano Materials Commercialization Volume production

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Physics of Organic Semiconductor Devices: Materials, Fundamentals, Technologies and Applications

Physics of Organic Semiconductor Devices: Materials, Fundamentals, Technologies and Applications Physics of Organic Semiconductor Devices: Materials, Fundamentals, Technologies and Applications Dr. Alex Zakhidov Assistant Professor, Physics Department Core faculty at Materials Science, Engineering

More information

GaN-based Devices: Physics and Simulation

GaN-based Devices: Physics and Simulation GaN-based Devices: Physics and Simulation Joachim Piprek NUSOD Institute Collaborators Prof. Shuji Nakamura, UCSB Prof. Steve DenBaars, UCSB Dr. Stacia Keller, UCSB Dr. Tom Katona, now at S-ET Inc. Dr.

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Fall 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES Tomomi Murakami 1*, Takashi Fukada 1 and Woo Sik Yoo 2 1 WaferMasters Service Factory, 2020-3 Oaza Tabaru, Mashiki, Kamimashiki,

More information

Investigation of Optical Nonlinearities and Carrier Dynamics in In-Rich InGaN Alloys

Investigation of Optical Nonlinearities and Carrier Dynamics in In-Rich InGaN Alloys Vol. 113 (2008) ACTA PHYSICA POLONICA A No. 3 Proceedings of the 13th International Symposium UFPS, Vilnius, Lithuania 2007 Investigation of Optical Nonlinearities and Carrier Dynamics in In-Rich InGaN

More information

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG TEMPORARY BONDING / DEBONDING AS THIN WAFER HANDLING SOLUTION FOR 3DIC & INTERPOSERS Device

More information

Chapter 7. Solar Cell

Chapter 7. Solar Cell Chapter 7 Solar Cell 7.0 Introduction Solar cells are useful for both space and terrestrial application. Solar cells furnish the long duration power supply for satellites. It converts sunlight directly

More information

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Reliability and Instability of GaN MIS-HEMTs for Power Electronics Reliability and Instability of GaN MIS-HEMTs for Power Electronics Jesús A. del Alamo, Alex Guo and Shireen Warnock Microsystems Technology Laboratories Massachusetts Institute of Technology 2016 Fall

More information

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin

Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Cut-and-Paste Organic FET Customized ICs for Application to Artificial Skin Takao Someya 1, Hiroshi Kawaguchi 2, Takayasu Sakurai 3 1 School of Engineering, University of Tokyo, Tokyo, JAPAN 2 Institute

More information

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance 1 Seminar Spacer Lithography for Reduced Variability in MOSFET Performance Prof. Tsu-Jae King Liu Electrical Engineering & Computer Sciences Dept. University of California at Berkeley Graduate Student:

More information

EE143 Fall 2016 Microfabrication Technologies. Evolution of Devices

EE143 Fall 2016 Microfabrication Technologies. Evolution of Devices EE143 Fall 2016 Microfabrication Technologies Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 Evolution of Devices Yesterday s Transistor (1947) Today s Transistor (2006) 1-2 1 Why

More information

Semiconductor Device Physics

Semiconductor Device Physics 1 Semiconductor Device Physics Lecture 1 http://zitompul.wordpress.com 2 0 1 3 2 Semiconductor Device Physics Textbook: Semiconductor Device Fundamentals, Robert F. Pierret, International Edition, Addison

More information

Recent Progress in Understanding the Electrical Reliability of GaN High-Electron Mobility Transistors

Recent Progress in Understanding the Electrical Reliability of GaN High-Electron Mobility Transistors Recent Progress in Understanding the Electrical Reliability of GaN High-Electron Mobility Transistors J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology 2015 MRS

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. EECS 130 Professor Ali Javey Fall 2006 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Professor Ali Javey Fall 2006 Midterm I Name: Closed book. One sheet of notes is allowed.

More information

AlGaN/GaN-based HEMT on SiC substrate for microwave characteristics using different passivation layers

AlGaN/GaN-based HEMT on SiC substrate for microwave characteristics using different passivation layers PRAMANA c Indian Academy of Sciences Vol. 79, No. 1 journal of July 2012 physics pp. 151 163 AlGaN/GaN-based HEMT on SiC substrate for microwave characteristics using different passivation layers T R LENKA

More information

Recent Progress in Understanding the DC and RF Reliability of GaN High Electron Mobility Transistors

Recent Progress in Understanding the DC and RF Reliability of GaN High Electron Mobility Transistors Recent Progress in Understanding the DC and RF Reliability of GaN High Electron Mobility Transistors J. A. del Alamo and J. Joh* Microsystems Technology Laboratories, MIT, Cambridge, MA *Presently with

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS

Operation and Modeling of. The MOS Transistor. Second Edition. Yannis Tsividis Columbia University. New York Oxford OXFORD UNIVERSITY PRESS Operation and Modeling of The MOS Transistor Second Edition Yannis Tsividis Columbia University New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Chapter 1 l.l 1.2 1.3 1.4 1.5 1.6 1.7 Chapter 2 2.1 2.2

More information

Loss of Quantum Efficiency in Green Light Emitting Diode Dies at Low Temperature

Loss of Quantum Efficiency in Green Light Emitting Diode Dies at Low Temperature Mater. Res. Soc. Symp. Proc. Vol. 955 2007 Materials Research Society 0955-I15-12 Loss of Quantum Efficiency in Green Light Emitting Diode Dies at Low Temperature Yufeng Li 1,2, Wei Zhao 1,2, Yong Xia

More information

ECE236A Semiconductor Heterostructure Materials Group III Nitride Semiconductors Lecture 17, Nov. 30, 2017

ECE236A Semiconductor Heterostructure Materials Group III Nitride Semiconductors Lecture 17, Nov. 30, 2017 ECE236A Semiconductor Heterostructure Materials Group III Nitride Semiconductors Lecture 17, Nov. 30, 2017 Spontaneous and Piezoelectric Polarization Effects on 2DEG in HFETs Effects of Polarization on

More information

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00 1 Name: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND Final Exam Physics 3000 December 11, 2012 Fall 2012 9:00-11:00 INSTRUCTIONS: 1. Answer all seven (7) questions.

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 130 Spring 2009 Professor Chenming Hu Midterm I Name: Closed book. One sheet of notes is

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objectives Identify at least two

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

(12) Patent Application Publication (10) Pub. No.: US 2017/ A1

(12) Patent Application Publication (10) Pub. No.: US 2017/ A1 (19) United States US 20170139281A1 (12) Patent Application Publication (10) Pub. No.: US 2017/0139281 A1 Hou et al. (43) Pub. Date: May 18, 2017 (54) ALIGNMENT METHOD OF FFS TYPE GO2F I/335 (2006.01)

More information

Atlas III-V Advanced Material Device Modeling

Atlas III-V Advanced Material Device Modeling Atlas III-V Advanced Material Device Modeling Requirements for III-V Device Simulation Blaze as Part of a Complete Simulation Toolset III-V Device Simulation maturity has conventionally lagged behind silicon

More information

Cree XLamp XR-E LED PRODUCT FAMILY DATA SHEET FEATURES PRODUCT DESCRIPTION TABLE OF CONTENTS CLD DS-05 REV11

Cree XLamp XR-E LED PRODUCT FAMILY DATA SHEET FEATURES PRODUCT DESCRIPTION TABLE OF CONTENTS CLD DS-05 REV11 Cree XLamp XR-E LED PRODUCT FAMILY DATA SHEET CLD DS-5 REV11 PRODUCT DESCRIPTION FEATURES TABLE OF CONTENTS WWW. CREE.COM/XLAMP The XLamp XR-E LED is leading the LED lighting revolution with its unprecedented

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

EECS143 Microfabrication Technology

EECS143 Microfabrication Technology EECS143 Microfabrication Technology Professor Ali Javey Introduction to Materials Lecture 1 Evolution of Devices Yesterday s Transistor (1947) Today s Transistor (2006) Why Semiconductors? Conductors e.g

More information

Development of Dual MQW Region LEDs for General Illumination

Development of Dual MQW Region LEDs for General Illumination Mater. Res. Soc. Symp. Proc. Vol. 831 2005 Materials Research Society E10.3.1 Development of Dual MQW Region LEDs for General Illumination David Brackin Nicol 1, Ali Asghar 1, Martin Strassburg 1,3, My

More information

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates Co- Authors Aixtron Alex Jouvray Simon Buttress Gavin Dodge Ken Teo The work shown here has received partial funding from the European

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Ch/ChE 140a Problem Set #3 2007/2008 SHOW ALL OF YOUR WORK! (190 Points Total) Due Thursday, February 28 th, 2008

Ch/ChE 140a Problem Set #3 2007/2008 SHOW ALL OF YOUR WORK! (190 Points Total) Due Thursday, February 28 th, 2008 Ch/ChE 140a Problem Set #3 2007/2008 SHOW ALL OF YOUR WORK! (190 Points Total) Due Thursday, February 28 th, 2008 Please read chapter 6 (pp. 175-209) of Advanced Semiconductor Fundamentals by Pierret.

More information

Chapter 6: Light-Emitting Diodes

Chapter 6: Light-Emitting Diodes Chapter 6: Light-Emitting Diodes Photoluminescence and electroluminescence Basic transitions Luminescence efficiency Light-emitting diodes Internal quantum efficiency External quantum efficiency Device

More information

Test methods GRAPHENE- HEAT DISSIPATION SHEET GADIANT. Product Name: Gadiant Purpose: electrical and electronic equipment fever reduction

Test methods GRAPHENE- HEAT DISSIPATION SHEET GADIANT. Product Name: Gadiant Purpose: electrical and electronic equipment fever reduction Test methods GRAPHENE- HEAT DISSIPATION SHEET GADIANT Product Name: Gadiant Purpose: electrical and electronic equipment fever reduction Product Features The product "Gadiant" Graphene is a sheet for heat

More information

CVD-3 LFSIN SiN x Process

CVD-3 LFSIN SiN x Process CVD-3 LFSIN SiN x Process Top Electrode, C Bottom Electrode, C Pump to Base Time (s) SiH 4 Flow Standard LFSIN Process NH 3 Flow N 2 HF (watts) LF (watts) Pressure (mtorr Deposition Time min:s.s Pump to

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

XBC300 Gen2. Fully-automated debonder and Cleaner

XBC300 Gen2. Fully-automated debonder and Cleaner XBC300 Gen2 Fully-automated debonder and Cleaner XBC300 Gen2 FULLY AUTOMATED DEBONDER AND CLEANER The SUSS XBC300 Gen2 debonder and cleaner platform is designed for process development as well as high

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University 微纳光电子材料与器件工艺原理 Doping 掺杂 Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Semiconductor PN Junctions Xing Sheng, EE@Tsinghua LEDs lasers detectors solar

More information

Computer Aided Design of GaN Light-Emitting Diodes. Copyright 2006 Crosslight Software Inc.

Computer Aided Design of GaN Light-Emitting Diodes. Copyright 2006 Crosslight Software Inc. Computer Aided Design of GaN Light-Emitting Diodes Copyright 2006 Crosslight Software Inc. www.crosslight.com 1 2 Contents Available tools and modules. Simulation of IQE droop. Design of superlattice.

More information

MARKING SCHEME SET 55/1/G Q. No. Expected Answer / Value Points Marks Total Marks

MARKING SCHEME SET 55/1/G Q. No. Expected Answer / Value Points Marks Total Marks MARKING SCHEME SET 55//G Q. No. Expected Answer / Value Points Marks Total Marks Set,Q Set2,Q5 Set,Q2 Set,Q2 Set2,Q4 Set,Q5 Set,Q Set2,Q2 Set,Q4 Set,Q4 Set2,Q Set,Q Set,Q5 Set2,Q Set,Q Set,Q6 Set2,Q7 Set,Q0

More information

Ultra-fast determination of base metals in geochemical samples using the 5100 SVDV ICP-OES

Ultra-fast determination of base metals in geochemical samples using the 5100 SVDV ICP-OES Ultra-fast determination of base metals in geochemical samples using the 5100 SVDV ICP-OES Application note Geochemistry, metals, mining Authors John Cauduro Agilent Technologies, Mulgrave, Australia Introduction

More information

GaN for use in harsh radiation environments

GaN for use in harsh radiation environments 4 th RD50 - Workshop on radiation hard semiconductor devices for very high luminosity colliders GaN for use in harsh radiation environments a (W Cunningham a, J Grant a, M Rahman a, E Gaubas b, J Vaitkus

More information

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently, suggesting that the results is reproducible. Supplementary Figure

More information

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc. 9702 Gayton Road, Suite 320, Richmond, VA 23238, USA Phone: +1 (804) 709-6696 info@nitride-crystals.com www.nitride-crystals.com Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals,

More information

6.012 Electronic Devices and Circuits

6.012 Electronic Devices and Circuits Page 1 of 12 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Electronic Devices and Circuits FINAL EXAMINATION Open book. Notes: 1. Unless

More information

Chapter 5 MOSFET Theory for Submicron Technology

Chapter 5 MOSFET Theory for Submicron Technology Chapter 5 MOSFET Theory for Submicron Technology Short channel effects Other small geometry effects Parasitic components Velocity saturation/overshoot Hot carrier effects ** Majority of these notes are

More information

Thermionic emission vs. drift-diffusion vs. p-n junction

Thermionic emission vs. drift-diffusion vs. p-n junction 6.772/SMA5111 - Compound Semiconductors Lecture 4 - Carrier flow in heterojunctions - Outline A look at current models for m-s junctions (old business) Thermionic emission vs. drift-diffusion vs. p-n junction

More information

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR Journal of Physical Science, Vol. 17(2), 161 167, 2006 161 A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR A.Y. Hudeish 1,2* and A. Abdul Aziz 1 1 School of Physics, Universiti Sains Malaysia, 11800

More information

RS 1700/1900/2300/2900 High Density Reticle Stockers

RS 1700/1900/2300/2900 High Density Reticle Stockers RS 1700/1900/2300/2900 High Density Reticle Stockers CONTAMINATION CONTROL SOLUTIONS Benefits Secure reticle storage Tec-Cell technology Prolongs the reticle lifetime Fast reticle access time High storage

More information

GaN HEMT Reliability

GaN HEMT Reliability GaN HEMT Reliability J. A. del Alamo and J. Joh Microsystems Technology Laboratories, MIT ESREF 2009 Arcachon, Oct. 5-9, 2009 Acknowledgements: ARL (DARPA-WBGS program), ONR (DRIFT-MURI program) Jose Jimenez,

More information

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... III V Semiconductor Etching Process Quality Rather Than Quantity Alan Webb (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc... ) Wednesday 12 th October 2016 Ricoh Arena, Coventry, UK Part of The

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Today Bulk semiconductor growth Single crystal techniques Nanostructure fabrication Epitaxial

More information

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room). The Final Exam will take place from 12:30PM to 3:30PM on Saturday May 12 in 60 Evans.» All of

More information

Semilab Technologies for 450mm Wafer Metrology

Semilab Technologies for 450mm Wafer Metrology Semilab Technologies for 450mm Wafer Metrology Tibor Pavelka Semilab Semiconductor Physics Laboratory Co. Ltd. Sem iconductorphysics Laboratory Co.Ltd. 1 Outline Short introduction to Semilab Technologies

More information

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India Introduction to Semiconductor Physics 1 Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India http://folk.uio.no/ravi/cmp2013 Review of Semiconductor Physics Semiconductor fundamentals

More information

Introduction CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING. Authors: Christopher Wacinski and Wiley Wilkinson

Introduction CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING. Authors: Christopher Wacinski and Wiley Wilkinson APPLICATION NOTE CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING Authors: Christopher Wacinski and Wiley Wilkinson Introduction CR-288 improves process efficiency for BEOL because tighter process

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

Simulation Studies of a phosphor-free Monolithic Multi- Wavelength Light-Emitting diode

Simulation Studies of a phosphor-free Monolithic Multi- Wavelength Light-Emitting diode Simulation Studies of a phosphor-free Monolithic Multi- Wavelength Light-Emitting diode Sidra Jabeen 1, Shahzad Hussain 2, and Sana Zainab 1 1 CEME, National University of Sciences and Technology (NUST),

More information

ConceptGraphene. Small or medium-scale focused research project. WP4 Spin transport devices

ConceptGraphene. Small or medium-scale focused research project. WP4 Spin transport devices ConceptGraphene New Electronics Concept: Wafer-Scale Epitaxial Graphene Small or medium-scale focused research project WP4 Spin transport devices Deliverable 4.1 Report on spin transport in graphene on

More information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger XPS/UPS and EFM Brent Gila XPS/UPS Ryan Davies EFM Andy Gerger XPS/ESCA X-ray photoelectron spectroscopy (XPS) also called Electron Spectroscopy for Chemical Analysis (ESCA) is a chemical surface analysis

More information

Quantum Dots for Advanced Research and Devices

Quantum Dots for Advanced Research and Devices Quantum Dots for Advanced Research and Devices spectral region from 450 to 630 nm Zero-D Perovskite Emit light at 520 nm ABOUT QUANTUM SOLUTIONS QUANTUM SOLUTIONS company is an expert in the synthesis

More information

DATA SHEET : PrimaxPlus

DATA SHEET : PrimaxPlus DATA SHEET : PrimaxPlus 180 InGaN White : MBWW-KZHG Primax Synonymous with function and performance, enter the Primax, the new era of high intensity illumination in LED. With its high flux output and high

More information

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells R. J. Choi, H. W. Shim 2, E. K. Suh 2, H. J. Lee 2, and Y. B. Hahn,2, *. School of Chemical Engineering

More information

Surfaces, Interfaces, and Layered Devices

Surfaces, Interfaces, and Layered Devices Surfaces, Interfaces, and Layered Devices Building blocks for nanodevices! W. Pauli: God made solids, but surfaces were the work of Devil. Surfaces and Interfaces 1 Interface between a crystal and vacuum

More information

GRAPHENE FLAGSHIP TECHNOLOGIES

GRAPHENE FLAGSHIP TECHNOLOGIES IOT AND SENSORS DATACOM HEALTH ENERGY COMPOSITES GRAPHENE FLAGSHIP TECHNOLOGIES IOT AND SENSORS CHROMATIC EYE The chromatic eye contains many different graphene photodetectors. This is a broadband sensor

More information

Metal-oxide-semiconductor field effect transistors (2 lectures)

Metal-oxide-semiconductor field effect transistors (2 lectures) Metal-ide-semiconductor field effect transistors ( lectures) MOS physics (brief in book) Current-voltage characteristics - pinch-off / channel length modulation - weak inversion - velocity saturation -

More information

HIGH TEMPERATURE RESISTANT MATERIALS HIGH-TEMPERATURE ELECTRONIC

HIGH TEMPERATURE RESISTANT MATERIALS HIGH-TEMPERATURE ELECTRONIC HIGH TEMPERATURE RESISTANT MATERIALS HIGH-TEMPERATURE ELECTRONIC ELECTRONIC SYSTEM Electronics system is an integration of multiple, diverse materials with specially designed functionality based on their

More information