Extracting Defect Density and Size Distributions from Product ICs

Size: px
Start display at page:

Download "Extracting Defect Density and Size Distributions from Product ICs"

Transcription

1 Extracting Defect Density and Size Distributions from Product ICs Jeffrey E. Nelson, Thomas Zanon, Jason G. Brown, Osei Poku, R.D. (Shawn) Blanton, and Wojciech Maly Carnegie Mellon University Brady Benware and Chris Schuermyer LSI Logic Editor s note: Defect density and size distributions are difficult to characterize, especially if you have little or no access to test vehicles specifically designed for the purpose. The authors propose a new methodology for extracting that information directly from production test data on actual products. Ken Butler, Texas Instruments 39 DEFECTS FREQUENTLY OCCUR during IC manufacture. Modeling the resulting yield loss is an important part of any design-for-manufacturability strategy. Of the many mechanisms that cause yield loss, some have sufficiently accurate models and are well understood, whereas others are unpredictable and difficult to characterize. Current yield-related research focuses mainly on systematic defects. In contrast, this article addresses random spot defects, which affect all processes and currently require a heavy silicon investment to characterize. We propose a new approach for characterizing random spot defects in a process. This approach enables accurate measurement of parameters for the critical-area yield model the workhorse of modern yield-learning strategies. IC manufacturers often neglect the need to tune the yield model that is, to continuously update yield model parameters because of the silicon area required to characterize a process. But the inherently stochastic nature of yield makes frequent process characterization necessary for accurate yield models. We present a system that overcomes the obstacle of silicon area overhead by using available wafer sort test results to measure critical-area yield model parameters. We use only wafer sort test results, so no additional silicon area is required. Our strategy uses the most realistic characterization vehicle for the product IC the product itself rather than memory or specialized test structures that waste silicon area and often do not represent the product s design style. Background Defect density and size distributions (DDSDs) are important parameters for characterizing spot defects in a process. A DDSD tells us what the defect density is for a given defect radius that is, the number of defects per unit area. The distribution gives this information for all defect radii. Typically, though, as defect radius increases, defect density quickly decreases. Thus, we can generally curtail the distribution and measure only defect density for a range of defect radii, because larger defects have a density approaching zero. This inherent feature becomes useful in attempting to discretize the DDSD. We can subdivide the distributions characterizing a process beyond defect size. Each metal layer of the process can potentially have a different DDSD. Ideally, we d like to measure each layer s DDSD rather than attempt to characterize all layers simultaneously with a single distribution. These distributions are parameters for the critical-area yield model. 1-3 IC manufacturers measure DDSDs primarily with specialized test structures on a wafer. Test structures contain geometries specifically designed to observe defects. When a defect occurs in a particular region of a test structure, that structure observes the defect, making it easy for the process engineer to identify what the defect mechanism is, where it occurred, and to learn about the defect s size. The price we pay for this convenience is that test structures consume silicon area on the wafer /6/$2. 26 IEEE Copublished by the IEEE CS and the IEEE CASS IEEE Design & Test of Computers 26 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works.

2 (a) (b) (c) Figure 1. Wafers with different test structure configurations and varying levels of defect observability (gray areas and scribe lines represent test structures): all test structures and no products (a), some test structures replaced by products (b), and entire area used for products, with test structures in scribe lines only (c). Thus, test structures impose a trade-off between area cost and defect observability. Consider the three wafers in Figure 1. In Figure 1a, the entire wafer is dedicated to test structures. This configuration allows excellent defect observability, but the obvious drawback is that no product can be manufactured from it product volume is zero. Manufacturers typically use a full wafer of test structures only during the earliest yield-learning phase, when the yield improvement realized from these structures significantly outweighs manufacturing cost. In Figure 1b, products have replaced many of the test structures, raising volume to a medium level. However, observability has decreased because now there is a significant amount of area where defects can occur with no direct ability to characterize them. The wafer in Figure 1b also contains test structures in the scribe lines. This configuration is a compromise between defect observability and volume. Manufacturers typically use it during yield ramp, when volume is necessary, but the ability to characterize defects particularly systematic defects is still required. Finally, the wafer configuration shown in Figure 1c uses the entire silicon area to manufacture products. The scribe lines still contain test structures because they don t affect product volume. As in the Figure 1b configuration, this configuration provides limited area to observe defects, but it is even more extreme because it relegates the test structures to the scribe lines. This configuration is used most during the volume phase of yield ramp, when characterization of random spot defects is most important for predicting yield. The observability-versus-area trade-off has led to research that seeks the best of both worlds: high observability and low (or no) area overhead. In particular, researchers have used SRAMs to extract DDSDs. 4 This technique requires no additional overhead, because the characterization vehicle (the SRAM) is a useful product itself. SRAMs, however, have undesirable characterization characteristics, such as confinement to a few metal layers, which limits the scope of observable defects. SRAMs extremely regular structure means that if the replicated cell has a narrow scope of geometric features for defect observation, this limitation will extend over the entire chip. These limitations are only noteworthy when the memories are extracting DDSDs for yield-loss prediction for random-logic circuits. A preferable defect characterization vehicle in such cases is a random-logic product. Other researchers have suggested using a randomlogic product to estimate the defect pareto in a process using only test results. 5 That work, in conjunction with the SRAM work, inspired the initial idea that we could extract a DDSD for each process layer using a randomlogic product IC as a virtual test structure. 6 The first publication describing an investigation of this idea appeared in March Here, we elaborate on that publication and present new findings from an experiment conducted on test data from silicon wafers provided by LSI Logic. Proposed approach Our system accurately characterizes spot defects that contribute to yield loss by measuring defect density in each metal IC layer, without the silicon overhead required by current techniques. The various geometries and line spacing in a typical layout lead to defects of different sizes with varying effects on the IC (some small September October

3 μe 1 μe 4 μe 6 b technique that relates the analyzed IC s test responses to defect characteristics that could cause such test responses. We will describe two mappings: one between defect probabilities and fault probabilities, and one between faults and test responses. b μe 2 (a) c μe 5 c μe 3 Figure 2. Sample layout with six microevents: four in metal layer 1 (a), and two in metal layer 2 (b). Microevents μe 1 to μe 3 have radius r 1 (solid boxes) and μe 4 to μe 6 have radius r 2 (dashed boxes), where r 1 < r 2. Spot defects are circles. (b) defects may have a negligible impact). Therefore, in addition to defect density, we must measure the distribution of defect sizes. The strategy for achieving this goal is straightforward. 6-8 By nature, each spot defect affects only a small subset of nodes in close proximity to one another. Each spot defect leads to a unique, defective circuit response. Likewise, given a circuit response, there are some potential spot defects that cause that response. Using results from structural testing, we can estimate the probability of a particular circuit response and consequently the probabilities of defect occurrence. By grouping responses according to specific characteristics, such as the size of a defect necessary to cause that circuit response, we can determine the occurrence probabilities of defects of that size. Using a modeling strategy to predict faulty circuit responses as a function of defect characteristics in the process, we can mathematically derive defect characteristics that minimize the difference between the modeled test response probabilities and the estimated test response probabilities. Thus, the calculated defect characteristics must represent the actual defect characteristics in the process. Of course, for this to be true, certain conditions must be met. We propose a defect characterization methodology based on this concept. That is, we develop and apply a modeling strategy that predicts probabilities of test responses depending on a DDSD, and then we find the DDSD that leads to agreement between circuit test responses measured by a tester and test responses predicted by the model. To accomplish this, we have developed a modeling Microevents and macroevents A spot of extra conducting material deposited in a metal layer can introduce an extra, unwanted bridge connection between nonequipotential metal regions in the layer. In most cases, a bridge will affect the circuit s electrical behavior. An instance of a bridge that connects two or more nonequipotential metal islands is called a microevent. 4 Each microevent involves a set of circuit nodes, S = {n 1, n 2,, n m }, that are bridged by the spot defect of a specific radius. We can calculate the probability of a single, independent microevent using the critical-area yield model. 7 Equation 1 shows the probability that microevent i will occur, where C i is the microevent s critical area, and D j (r i ) is the defect density for defects of radius r i (the same radius as microevent i) in layer j, the layer in which microevent i occurs. p = e i ( ) CD i j ri (1) Here, we define microevent μe i as a bridge, thus limiting our scope to spot defects causing bridges. We do this for two reasons: First, it is important that the physics of the investigated yield loss mechanism be well understood, which is indeed the case for bridges. Second, spots of extra conducting material are still a major reason for IC malfunctions in many processes. An IC s vulnerability to random spot defects greatly depends on the layout. The critical-area concept was developed to provide a metric of design sensitivity to defects. 1,9 Critical area is the layout region where, if a spot defect of radius r occurs, a circuit can fail. Figure 2 shows a small portion of a sample layout with signal lines in metal 1 and metal 2. The figure illustrates six microevents: four in metal 1 and two in metal 2. Four sample spot defects demonstrate how a microevent can occur. Each microevent has an associated critical area for a specific defect radius. For example, microevents μe 1 to μe 3 have critical area for a defect of radius r 1, represented by the solid boxes associated with each microevent label. Likewise, microevents μe 4 to μe 6 have critical area for radius r 2, represented by the dashed boxes. This example shows that even within a single metal layer, microevents involving the same circuit node set S can occur in several discrete regions. In this 392 IEEE Design & Test of Computers

4 case, S = {b, c}. Each discrete region of critical area represents a separate microevent. In addition, microevents involving the same set of circuit nodes can exist in different metal layers. Critical-area measurement occurs in steps. First, we measure critical area for all potential microevents in a layout for a given radius, r start. In each subsequent step, the defect radius is incremented by a small amount and the first step repeated for the new radius. This process repeats, continuing over a specified range of defect radii until reaching r end. We can now define a macroevent as the set of all microevents that exist for the same set of circuit nodes S. As mentioned, many microevents involving S can exist in different layers for different defect radii. So, a collection of independent microevents describes each macroevent. Figure 2 shows a single macroevent, occurring between lines b and c, which consists of microevents 1 through 6. Because a macroevent is a set of independent microevents, the probability of a macroevent involving S is one minus the product of the probabilities of each microevent involving S not occurring. Thus, in this example, the probability of the macroevent involving b and c occurring is one minus the product of the probabilities of each of the six microevents not occurring. Critical-area extraction for a range of defect radii provides a list of microevents and their associated critical areas. With those measurements, we can calculate microevent probabilities, and thus macroevent probabilities, as a function of defect densities. Because a macroevent represents a multiline bridge, we have in fact extracted a list of potential bridge defects along with their occurrence probability. This results in the first mapping between defects and faults. Logic-level modeling The final modeling stage necessary for mapping defect characteristics to test responses is a mapping between the macroevent list and the test responses. This mapping is embodied by the T matrix, which we calculate by simulating the entire test set against each macroevent. Because simulation time for a large number of macroevents (even a small circuit can have hundreds of thousands) can be enormous, we model them as logiclevel faults, making efficient simulation possible. To maintain accuracy when simulating at the logic level, we first derive an accurate gate-level model of the circuit. Typical standard-cell representations obscure the cell s internal workings, causing the omission of important signal lines from the logic-level netlist. This netlist includes only standard-cell ports, even if the standard cell contains several CMOS logic gates. Therefore, we map a standard-cell layout to a logic-level description that captures the structure of static CMOS gates in the cell, using the gate primitives NAND, NOR, and NOT. This change lets us consider gate outputs routed in metal 1 in a standard cell during microevent extraction and tie them to logic signals in the netlist. An AND-gate standard cell illustrates this issue. Typically, an AND gate is implemented in CMOS by a NAND gate followed by an inverter, with the connection between the two routed in metal 1. Microevents involving the internal metal 1 routing might occur, but without the layout-to-logic mapping used here, we have no basis for forming a logic-level fault model that includes this metal line. With our mapping, we can efficiently handle critical area that involves all metal lines in a standard cell (which can account for a significant portion of the chip s total critical area). However, some standard cells might still contain metal structures that are not mapped to the logic level. These polygonal structures are metal lines that don t correspond to a CMOS logic gate s output (these structures do not include power and ground, which easily map to logic 1 and ). They are typically in complex CMOS gates such as AND-OR-INVERT gates, multiplexers, and other complex logic functions. Although we could ignore macroevents involving these polygons, they will become an additional source of error. We developed a technique to handle the polygons by mapping their logic functions to standard cell ports, and we used this technique in the silicon experiment that we describe later. The extracted macroevents represent bridges that can involve two or more signal lines. Test engineers commonly use bridge faults 1 to model two-line bridge defects, but because macroevents can involve more than two lines, more-advanced fault models are necessary. We use the voting-bridge fault model, 11 in which pull-up and pull-down network drive strengths determine the erroneous lines. We form a voting model for each macroevent by separately summing the drive strengths of all lines in the macroevent driven to logic and logic 1. We then compare the two sums to determine which logic value will be imposed on the other lines. An error occurs on each line with the weaker logic value. To implement the voting model described here, we use fault tuples, a generalized fault representation mechanism. 12 Despite the September October

5 complex models we use, the behavior of real spot defects is unpredictable and therefore can be a source of error. To simulate the macroevents modeled as votingbridge faults, we use FATSIM, a concurrent fault simulator for fault tuples. 12 To determine which test vectors detect which macroevents, we use no fault dropping during simulation. The resulting data is stored in the T matrix, which has the following form: t T = M tv t 11, 12, t, 1 V, 2 L t1, M L t M VM, where V is the number of test vectors simulated, M is the total number of macroevents, and t s,i is a 1 (), indicating that macroevent i is detected (undetected) by test vector s. The T matrix provides the mapping between logic-level faults and circuit test responses. We have verified qualitatively that an inaccurate T matrix can significantly decrease the overall accuracy of our DDSD extraction approach. When we use a random T matrix, the resulting DDSDs have no resemblance to the expected distribution. Therefore, it is critical that macroevents be modeled precisely and simulated correctly; otherwise, the T matrix s quality will be questionable. Simulation techniques that are more detailed than a logic-level model (for example, transistor-level Spice simulation) could possibly lead to greater accuracy, but they would increase the required simulation time considerably. DDSD extraction As discussed earlier, we can measure DDSDs by minimizing the difference between the predicted and the observed probability of passing tests (yield per test). We have described the various components necessary to predict probability p i of test i passing. We adapt the critical-area yield model for this task, using critical-area functions of macroevents, and the DDSD per layer as parameters of the model. After measuring the T matrix and critical-area functions of macroevents, the DDSDs are the only unknown parameters of the model. We can easily measure observed yield per test ˆp i from tester results as the ratio of the number of chips that pass test i to the total number of chips manufactured. We can find the DDSDs that minimize the error between p i and ˆp i by using linear regression. The key idea is to abandon the concept of individual DDSDs per layer. Because we will capture each distribution discretely using some number of points, we can concatenate all the DDSDs defect densities into a single vector. The linear regression s output will be this vector, which can then be split into a DDSD for each metal layer. We present a detailed mathematical description of these steps elsewhere. 9,1 Simulation experiment To evaluate the proposed approach, we performed an experiment based on a simulated, artificial process. We assumed DDSDs for each layer of the artificial process and inserted defects into the process based on these distributions. We measured the estimated yield per test vector by emulating a tester. We then applied the DDSD extraction strategy to the circuit and compared the extracted DDSDs with the inserted DDSDs. Demonstration circuit For this experiment, we used circuit c354 from the 1985 International Symposium on Circuits and Systems (ISCAS) benchmark suite. 13 We logically optimized the c354 implementation and technology-mapped it to a.18-micron commercial standard-cell library. The final layout was routed in five metal layers and used approximately 1 μm 1 μm of area. In modern manufacturing processes, a design of this size would typically be free of defects because of relatively low defect densities. To ease the simulation burden, we assumed that a single die consisted of 1, parallel instances of c354, with each instance retaining its original controllability and observability. As a result, each die had an area of approximately 1 cm 2 and could still be tested with a test set for a single instance of c354. Although this die had a total critical area comparable to typical chips, it lacked the diverse geometrical features that a die would normally exhibit. However, the impact of design diversity on the DDSD extraction technique was not the experiment s focus. After preparing the demonstration circuit, we extracted macroevents, modeled them using fault tuples, and simulated them with FATSIM to generate the T matrix. The production test set consisted of 155 stuck-at test patterns. During macroevent extraction, we determined critical area for a range of defect sizes to build a critical-area function for each macroevent. For metal layers 1 through 4, the critical-area function domain was.2 micron to 2 microns, and for metal layer 5, it was.34 micron to 2 microns, with samples spaced at 5-nm intervals. This resulted in 182 critical-area points. We determined the limits on the basis of minimum line spacing for the 394 IEEE Design & Test of Computers

6 lower bound and selected the upper bound to capture a sufficient portion of the DDSD s tail. Figure 3 shows the total discretized critical-area function (sum of critical area functions of all microevents involving the layer) for each of the five metal layers for one instance of c354. Tester emulation In the proposed DDSD extraction 6, methodology, we measure the yield per test from the structural test results of a real tester. In the simulation experiment, 4, we substituted tester emulation for actual test results. We generated defects 2, according to a stochastic Poisson process in which each potential defect is an independent event. The assumed DDSD followed the well-known power law, with the defect densities shown in Table 1. We increased defect densities to levels well beyond realistic figures to reduce the simulation time required for test emulation. We consider each macroevent s occurrence an independent Poisson process because we assume that each defect s occurrence is independent of all others. As a result, each macroevent occurs with a frequency dictated by a Poisson process at a rate determined from the critical-area function of the macroevent and the DDSDs. Table 2 shows the percentage of dies containing zero, one, two, or three macroevents in a sample size of 5, for this experiment. From this table, we reach two conclusions: Critical area (μm 2 ) 12, 1, 8, Because the occurrence rates of the number of macroevents per die align with the theoretical occurrence rates, 5, dies are sufficient. Of the simulated dies, multiple macroevents affect only a small percentage Metal 182 bins 19 bins Figure 3. Critical-area functions (white symbols) extracted from all metal layers of a single instance of circuit c354 from the ISCAS 85 benchmark suite. Black symbols represent critical-area functions after combining a range of defect sizes. Table 1. Injected defect density and size distributions (DDSDs) following the power law distribution, with power parameter p and peak-probability parameter X =.5 μm for each metal layer. D [cm 2 ] represents defect density. Metal layer Parameter D (cm 2 ) p Table 2. Occurrence rates for the number of macroevents per die for a sample size of 5,. From the artificial process simulation, we knew which macroevents occurred on each faulty die. We then obtained the yield per test by inspecting the T matrix. The yield per test varied slightly around an average of 98% for each test. We assume that no masking effects occur for dies affected by multiple macroevents. Thus, if a test detects any of the individual macroevents, we assume that the test will fail. Table 2 shows that the September October 26 Parameter Occurrence rate No. of macroevents per die Percentage of dies assumption that no masking occurs applies to about.16% of all dies; thus, any impact from this assumption is minimal. 395

7 DDSD extraction We formulated the DDSD extraction process as a minimization problem to be solved using linear regression analysis. Here, we detail the regression procedure for the demonstration circuit. As already mentioned, the total number critical-area points from the critical-area analysis for all layers is 182. It is natural to likewise want to discretize the DDSDs by solving for their values at the same points as the critical area points. Each of these is referred to as a bin. The individual defect densities in the 182 bins comprise the DDSD vector we wish to derive. However, given that there are only 155 test vectors, we can obtain only 155 yields per test. Consequently, there are more unknowns than equations, which means the minimization is an undetermined problem with an infinite number of solutions. To reformulate the problem so that it is solvable, we grouped sample points for defect size ranges into fewer, wider bins, thus reducing the overall number of densities to be derived. Figure 3 shows the 19 bins used for this experiment. We recalculated critical-area functions for the new bin arrangements, represented by the black symbols in Figure 3. This reconstruction doesn t affect the T matrix, so there is no need to resimulate the faults. We used principal component regression to find the values for the 19 bins that make up the DDSDs. We obtained 95% confidence intervals for the extracted DDSDs, using standard bootstrapping techniques. 14 Figure 4 shows the final extracted results of the analysis for all five metal layers. The triangles represent the 19 extracted DDSD vector components, and the small circles represent the assumed DDSD components. Although the results aren t perfect, the inserted DDSD and the extracted DDSD correlate well a positive and promising result. Figure 4 also shows the 95% confidence intervals for each DDSD component. Some of the confidence intervals are quite large. The source of this variance can be traced to the properties of the criticalarea functions and the T matrix. Specifically, criticalarea functions that contribute to one test s failing correlate strongly with critical-area functions contributing to other test patterns. Silicon experiment After the success of the simulation experiment, we conducted a similar experiment on a chip manufactured in a commercial facility. The chip is an array of 64-bit ALUs manufactured in a.11-micron process. LSI Logic designed the chip as a process development and silicon-debugging vehicle closely mimicking the design style of the company s other digital-logic products. Hence, the chip is ideally suited for testing and validating our DDSD extraction strategy. Each die contains 384 ALUs, each independently controllable and observable (similar to the assumption made in the simulation experiment). The chip s structure is convenient from the perspective of scale because the die is partitioned into many small blocks, each a single ALU. Although not all designs are this regular, large designs are frequently partitioned into smaller blocks and tested separately with scan chains. Analyzing each block independently or limiting the analysis to just a handful of blocks is one strategy for coping with the large number of macroevents associated with an industrial design. We performed the experiment in almost the same manner as that of the simulation experiment. We adjusted the critical-area bins to account for the smaller feature size. The bin edges were.1,.2,.4, 1, and 2 microns. The silicon chip was routed in six layers rather than five and thus required 23 bins (like metal layer 5, metal layer 6 was captured with only three bins). Another difference in this experiment was that we used real test results for a test set containing 262 patterns provided by the manufacturer. We extracted the results using 451 failing ALUs; the part s yield is IP, so we don t disclose the total number of manufactured ALUs. Figure 5 shows the extracted DDSDs for the six metal layers. We did not simply parameterize an assumed model, yet the extracted curve for each layer follows a power law distribution, a DDSD shape typically found in manufacturing processes. This strongly indicates that these results are meaningful. Additionally, the plots indicate that although the distributions don t vary widely, there are differences in defect densities from layer to layer. The y-axis in each graph has the same range, making plot comparisons easier. Finally, the large confidence intervals for the smallest defect sizes in metal layers 5 and 6 occur because there is very little critical area for small defects in the higher metal layers, as Figure 6 shows. This can be the result of either design rules that force lines to be farther apart or simply the decreased routing density in those layers. Either way, there is limited ability to observe small defects in those layers hence, the large confidence intervals. The results of the experiment on chips fabricated in 396 IEEE Design & Test of Computers

8 1. Metal 1 1. Metal Defect density (cm 2 ) Extracted defect density Presumed defect density Defect density (cm 2 ) (a) Defect density (cm 2 ) Metal % confidence interval (b) Defect density (cm 2 ) Metal (c) (d) Metal Defect density (cm 2 ) (e) Figure 4. Assumed and extracted DDSDs for all metal layers and corresponding 95% confidence intervals: metal 1 (a), metal 2 (b), metal 3 (c), metal 4 (d), and metal 5 (e). September October

9 Metal Metal Metal (a) (b) (c) 14 Metal Metal Metal (d) (e) (f) Figure 5. Extracted DDSDs for all metal layers in a fabricated 64-bit ALU test chip, and corresponding 95% confidence intervals. Defect densities are hidden to protect IP, but the scale of all plots is identical. Metal 1 (a), metal 2 (b), metal 3 (c), metal 4 (d), metal 5 (e), and metal 6 (f). silicon confirm the results of the simulation experiment: We can measure DDSDs that characterize a process in ordinary digital circuits using only slow, structural test results from the product. RATHER THAN DISCARDING pass/fail test results once a part has been sorted, we can derive valuable process characteristics from the test data. Our strategy extracts DDSDs consistent with those we d expect to see for a modern manufacturing process an achievement not previously accomplished without using additional silicon area. Our ongoing research is looking for ways to improve accuracy by using high-fidelity fault models and greater data volume, as well as by accounting for yield loss due to other defect types such as open circuits. Many manufacturers continue to rely on inspection techniques whose quality degrades with every new process generation. Our approach to extracting process characteristics doesn t suffer from the same degradation. Although manufacturers stand to gain much from using this approach, our strategy also offers an opportunity for fabless companies to gain insight into the fabrication of their chips. For the first time, such companies can independently compute their products defect characteristics and improve design yield by tuning designs for a given fabline. Acknowledgments Semiconductor Research Corporation supported this work under contract IEEE Design & Test of Computers

10 5.E+4 4.E+4 Metal 1 Metal 2 Metal 3 Metal 4 Metal 5 Metal 6 Critical area (μm 2 ) 3.E+4 2.E+4 1.E+4.E Figure 6. Total critical-area functions per layer extracted from all metal layers of a 64-bit ALU. References 1. W. Maly and J. Deszczka, Yield Estimation Model for VLSI Artwork Evaluation, Electronic Letters, vol. 19, no. 6, Mar. 1983, pp D. Schmitt-Landsiedel et al., Critical Area Analysis for Design-Based Yield Improvement of VLSI Circuits, Quality and Reliability Eng. Int l, vol. 11, 1995, pp D.J. Ciplickas, X. Li, and A.J. Strojwas, Predictive Yield Modeling of VLSICs, Proc. 5th Int l Workshop Statistical Metrology (WSM ), IEEE Press, 2, pp J. Khare, D. Feltham, and W. Maly, Accurate Estimation of Defect-Related Yield Loss in Reconfigurable VLSI Circuits, IEEE J. Solid-State Circuits, vol. 8, no. 2, Feb. 1993, pp Y.J. Kwon and D.M.H. Walker, Yield Learning via Functional Test Data, Proc. Int l Test Conf. (ITC 95), IEEE Press, 1995, pp W. Maly, Spot Defect Size Measurements Using Results of Functional Test for Yield Loss Modeling of VLSI IC, white paper, Carnegie Mellon Univ., J.E. Nelson et al., Extraction of Defect Density and Size Distributions from Wafer Sort Test Results, Proc. Design, Automation and Test in Europe (DATE 6), IEEE Press, 26, pp J.E. Nelson et al., Extraction of Defect Density and Size Distributions from Wafer Probe Test Results, tech. report CSSI 5-2, Center for Silicon System Implementation, Carnegie Mellon Univ., C.H. Stapper, Modeling of Integrated Circuit Defect Sensitivities, IBM J. Research and Development, vol. 27, no. 6, Nov. 1983, pp K.C.Y. Mei, Bridging and Stuck-at Faults, IEEE Trans. Computers, vol. 23, no. 7, July 1974, pp R.C. Aitken and P.C. Maxwell, Biased Voting: A Method for Simulating CMOS Bridging Faults in the Presence of Variable Gate Logic Thresholds, Proc. Int l Test Conf. (ITC 93), IEEE Press, 1993, pp R.D. Blanton, Methods for Characterizing, Generating Test Sequences for, and Simulating Integrated Circuit Faults Using Fault Tuples and Related Systems and Computer Program Products, US Patent 6,836,856, Patent and Trademark Office, F. Brglez and H. Fujiwara, A Neutral Netlist of 1 Combinational Benchmark Designs and a Special Translator in Fortran, Proc. Int l Symp. Circuits and Systems (ISCAS 85), IEEE Press, 1985, pp B. Efron and R.J. Tibshirani, An Introduction to the Bootstrap, Chapman & Hall, September October

11 Jeffrey E. Nelson is a PhD candidate in the Department of Electrical and Computer Engineering at Carnegie Mellon University. His research interests include process characterization and testing of digital systems. He has a BS and an MS in electrical and computer engineering from Rutgers University and Carnegie Mellon University, respectively. He is a member of the IEEE. Thomas Zanon is a PhD candidate in the Department of Electrical and Computer Engineering at Carnegie Mellon University and a yield ramping consulting engineer at PDF Solutions, in San Jose, California. His research interests include defect and process characterization based on test results. Zanon has a Dipl. Ing. degree in electrical engineering and information technology from the Technische Universitaet Muenchen. He is a member of the IEEE and EDFAS. Jason G. Brown is a PhD candidate in the Department of Electrical and Computer Engineering at Carnegie Mellon University. His research interests include defect-based test, inductive fault analysis, and layout-driven diagnosis. He has a BS in electrical engineering from Worcester Polytechnic Institute and an MS in computer engineering from Carnegie Mellon University. Osei Poku is a PhD candidate in the Department of Electrical and Computer Engineering at Carnegie Mellon University. His research interests include various aspects in test and diagnosis of VLSI circuits, such as automatic test pattern generation, volume diagnosis, and diagnosisbased yield learning. Poku has a BS in electrical engineering from Hampton University and an MS in electrical and computer engineering from Carnegie Mellon University. include test and diagnosis of integrated, heterogeneous systems. He has a BS in engineering from Calvin College, an MS in electrical engineering from the University of Arizona, and a PhD in computer science and engineering from the University of Michigan, Ann Arbor. Wojciech Maly is the Whitaker Professor of Electrical and Computer Engineering at Carnegie Mellon University. His research interests focus on the interfaces between VLSI design, testing, and manufacturing, with emphasis on the stochastic nature of phenomena relating these three VLSI domains. Maly has an MSc in electronic engineering from the Technical University of Warsaw and a PhD from the Institute of Applied Cybernetics, Polish Academy of Sciences. Brady Benware is a staff engineer in the Product Engineering group at LSI Logic, where his current focus is on developing defect-based test methods to achieve very low defective-parts-per-million levels. Benware has a PhD in electrical engineering from Colorado State University. Chris Schuermyer is an engineer in the Advanced Defect Screening group at LSI Logic. His research interests include test for yield and defect learning, defect-based testing, and logic diagnosis. He has a BS in physics and a BS and an MS in electrical engineering, all from Portland State University. Direct questions or comments about this article to R.D. Blanton, Dept. of Electrical and Computer Engineering, Carnegie Mellon University, 5 Forbes Ave., Pittsburgh, PA 15213; blanton@ece.cmu.edu. R.D. (Shawn) Blanton is a professor in the Department of Electrical and Computer Engineering at Carnegie Mellon University, where he is the associate director of the Center for Silicon System Implementation (CSSI). His research interests For further information on this or any other computing topic, visit our Digital Library at publications/dlib. 4 IEEE Design & Test of Computers

Extraction of Defect Density and Size Distributions

Extraction of Defect Density and Size Distributions 2006 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

Predicting IC Defect Level using Diagnosis

Predicting IC Defect Level using Diagnosis 2014 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

Model-Based I DDQ Pass/Fail Limit Setting

Model-Based I DDQ Pass/Fail Limit Setting Model-Based I DDQ Pass/Fail Limit Setting T. Aruna Unni Xilinx Corp. 21 Logic Drive, San Jose CA 955 Ph: (48) 879-5366, Fax: (48) 377-3259 Email: aruna@xilinx.com Abstract This paper describes several

More information

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng.

More information

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849,

More information

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING Hussain Al-Asaad and Raymond Lee Computer Engineering Research Laboratory Department of Electrical & Computer Engineering University of California One

More information

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS Hussain Al-Asaad Department of Electrical & Computer Engineering University of California One Shields Avenue, Davis, CA 95616-5294

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms.

More information

10-1. Yield 1 + D 0 A e D 0 A

10-1. Yield 1 + D 0 A e D 0 A ASIC Yield Estimation At Early Design Cycle Vonkyoung Kim Mick Tegetho* Tom Chen Department of Electrical Engineering Colorado State University Fort Collins, CO 80523 e{mail: vk481309@lance.colostate.edu,

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Relating Entropy Theory to Test Data Compression

Relating Entropy Theory to Test Data Compression Relating Entropy Theory to Test Data Compression Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 7872 Email: {kjbala, touba}@ece.utexas.edu

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs

EECS150 - Digital Design Lecture 26 - Faults and Error Correction. Types of Faults in Digital Designs EECS150 - Digital Design Lecture 26 - Faults and Error Correction April 25, 2013 John Wawrzynek 1 Types of Faults in Digital Designs Design Bugs (function, timing, power draw) detected and corrected at

More information

Test Generation for Designs with Multiple Clocks

Test Generation for Designs with Multiple Clocks 39.1 Test Generation for Designs with Multiple Clocks Xijiang Lin and Rob Thompson Mentor Graphics Corp. 8005 SW Boeckman Rd. Wilsonville, OR 97070 Abstract To improve the system performance, designs with

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

A Compiled-Code Parallel Pattern Logic Simulator With Inertial Delay Model

A Compiled-Code Parallel Pattern Logic Simulator With Inertial Delay Model JOURNAL OF INFORMATION PARALLEL PATTERN SCIENCE LOGIC AND ENGINEERING SIMULATOR WITH 15, 885-897 INERTIAL (1999) DELAY MODEL 885 A Compiled-Code Parallel Pattern Logic Simulator With Inertial Delay Model

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate

Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate Gate-Level Mitigation Techniques for Neutron-Induced Soft Error Rate Harmander Singh Deogun, Dennis Sylvester, David Blaauw Department of EECS, University of Michigan, Ann Arbor, MI, US 48109 {hdeogun,dmcs,blaauw@umich.edu}

More information

Sequential Equivalence Checking without State Space Traversal

Sequential Equivalence Checking without State Space Traversal Sequential Equivalence Checking without State Space Traversal C.A.J. van Eijk Design Automation Section, Eindhoven University of Technology P.O.Box 53, 5600 MB Eindhoven, The Netherlands e-mail: C.A.J.v.Eijk@ele.tue.nl

More information

Fault Modeling. Fault Modeling Outline

Fault Modeling. Fault Modeling Outline Fault Modeling Outline Single Stuck-t Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of oolean Difference Copyright 1998 Elizabeth M. Rudnick

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Featured Articles Advanced Research into AI Ising Computer

Featured Articles Advanced Research into AI Ising Computer 156 Hitachi Review Vol. 65 (2016), No. 6 Featured Articles Advanced Research into AI Ising Computer Masanao Yamaoka, Ph.D. Chihiro Yoshimura Masato Hayashi Takuya Okuyama Hidetaka Aoki Hiroyuki Mizuno,

More information

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs Sudarshan Bahukudumbi and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke

More information

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1 Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects

More information

Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs

Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs Test-Length Selection and TAM Optimization for Wafer-Level, Reduced Pin-Count Testing of Core-Based Digital SoCs Sudarshan Bahukudumbi and Krishnendu Chakrabarty Department of Electrical and Computer Engineering

More information

incidence log(fault weight)

incidence log(fault weight) Fault Modeling and Defect Level Projections in Digital ICs J.T. Sousa, F.M. Goncalves, J.P. Teixeira, T.W. Williams INESC/IST, 117 Lisboa CODEX, PORTUGAL IBM, Boulder, Colorado 831-9191, USA Keywords:

More information

Single-Event Upset and Snapback in Silicon-on-Insulator Devices

Single-Event Upset and Snapback in Silicon-on-Insulator Devices OT7U Single-Event Upset and Snapback in Silicon-on-Insulator Devices P. E. Dodd, M. R. Shaneyfelt, J. R. Schwank, G. L. Hash, B. L. Draper, and P. S. Winokur Sandia National Laboratories Albuquerque, New

More information

Combinational Logic Design

Combinational Logic Design PEN 35 - igital System esign ombinational Logic esign hapter 3 Logic and omputer esign Fundamentals, 4 rd Ed., Mano 2008 Pearson Prentice Hall esign oncepts and utomation top-down design proceeds from

More information

Is IDDQ Testing not Applicable for Deep Submicron VLSI in Year 2011?

Is IDDQ Testing not Applicable for Deep Submicron VLSI in Year 2011? Is IDDQ Testing not Applicable for Deep Submicron VLSI in Year 2011? Chih-Wen Lu Department of Electrical Engineering, Da Yeh University c w lu @ aries. d yu.edu. t w Chung Len Lee Department of Electronics

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic Section 3: Combinational Logic Design Major Topics Design Procedure Multilevel circuits Design with XOR gates Adders and Subtractors Binary parallel adder Decoders Encoders Multiplexers Programmed Logic

More information

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Borrowing Kun Young Chung and Sandeep K. Gupta University of Southern California, EE Systems Abstract High-speed circuits use

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays EEE TRANSACTONS ON COMPUTERS, VOL. C-30, NO. 11, NOVEMBER 1981 A Hardware Approach to Self-Testing of Large Programmable Logic Arrays 829 WLFRED DAEHN AND JOACHM MUCHA, MEMBER, EEE Abstract-A hardware

More information

Outline Fault Simulation

Outline Fault Simulation K.T. Tim Cheng, 4_fault_sim, v. Outline Fault Simulation Applications of fault simulation Fault coverage vs product quality Fault simulation scenarios Fault simulation algorithms Fault sampling K.T. Tim

More information

EECS 579: Logic and Fault Simulation. Simulation

EECS 579: Logic and Fault Simulation. Simulation EECS 579: Logic and Fault Simulation Simulation: Use of computer software models to verify correctness Fault Simulation: Use of simulation for fault analysis and ATPG Circuit description Input data for

More information

Analog VLSI Neural Networks. Abstract. We investigate the estimation of fault probabilities and yield for analog VLSI implementations

Analog VLSI Neural Networks. Abstract. We investigate the estimation of fault probabilities and yield for analog VLSI implementations On Fault Probabilities and Yield Models for Analog VLSI Neural Networks Paul M. Furth and Andreas G. Andreou Department of Electrical and Computer Engineering The Johns Hopkins University, Baltimore MD

More information

CSE370: Introduction to Digital Design

CSE370: Introduction to Digital Design CSE370: Introduction to Digital Design Course staff Gaetano Borriello, Brian DeRenzi, Firat Kiyak Course web www.cs.washington.edu/370/ Make sure to subscribe to class mailing list (cse370@cs) Course text

More information

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance

Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance Tong-Yu Hsieh and Kuen-Jong Lee Department of Electrical Engineering National Cheng Kung University Tainan, Taiwan 70101

More information

Semi-Conductors insulators semi-conductors N-type Semi-Conductors P-type Semi-Conductors

Semi-Conductors insulators semi-conductors N-type Semi-Conductors P-type Semi-Conductors Semi-Conductors In the metal materials considered earlier, the coupling of the atoms together to form the material decouples an electron from each atom setting it free to roam around inside the material.

More information

Generation of High Quality Non-Robust Tests for Path Delay Faults

Generation of High Quality Non-Robust Tests for Path Delay Faults Generation of High Quality Non-Robust Tests for Path Delay Faults Kwang-Ting Cheng Hsi-Chuan Chen Department of ECE AT&T Bell Laboratories University of California Murray Hill, NJ 07974 Santa Barbara,

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Test Pattern Generator for Built-in Self-Test using Spectral Methods Test Pattern Generator for Built-in Self-Test using Spectral Methods Alok S. Doshi and Anand S. Mudlapur Auburn University 2 Dept. of Electrical and Computer Engineering, Auburn, AL, USA doshias,anand@auburn.edu

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

Figure 1.1: Schematic symbols of an N-transistor and P-transistor

Figure 1.1: Schematic symbols of an N-transistor and P-transistor Chapter 1 The digital abstraction The term a digital circuit refers to a device that works in a binary world. In the binary world, the only values are zeros and ones. Hence, the inputs of a digital circuit

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs A. Keshavarzi, S. Ma, S. Narendra, B. Bloechel, K. Mistry*, T. Ghani*, S. Borkar and V. De Microprocessor Research Labs,

More information

of Digital Electronics

of Digital Electronics 26 Digital Electronics 729 Digital Electronics 26.1 Analog and Digital Signals 26.3 Binary Number System 26.5 Decimal to Binary Conversion 26.7 Octal Number System 26.9 Binary-Coded Decimal Code (BCD Code)

More information

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs Raimund Ubar, Lembit Jürimägi (&), Elmet Orasson, and Jaan Raik Department of Computer Engineering,

More information

STATISTICAL FAULT SIMULATION.

STATISTICAL FAULT SIMULATION. STATISTICAL FAULT SIMULATION. JINS DAVIS ALEXANDER, GRADUATE STUDENT, AUBURN UNIVERSITY. ABSTRACT: Fault simulation is used for the development or evaluation of manufacturing tests. However with the increase

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories

CMOS Digital Integrated Circuits Lec 13 Semiconductor Memories Lec 13 Semiconductor Memories 1 Semiconductor Memory Types Semiconductor Memories Read/Write (R/W) Memory or Random Access Memory (RAM) Read-Only Memory (ROM) Dynamic RAM (DRAM) Static RAM (SRAM) 1. Mask

More information

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY

STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY STUDY AND IMPLEMENTATION OF MUX BASED FPGA IN QCA TECHNOLOGY E.N.Ganesh 1 / V.Krishnan 2 1. Professor, Rajalakshmi Engineering College 2. UG Student, Rajalakshmi Engineering College ABSTRACT This paper

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap

EECS150 - Digital Design Lecture 26 Faults and Error Correction. Recap EECS150 - Digital Design Lecture 26 Faults and Error Correction Nov. 26, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof.

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

! Charge Leakage/Charge Sharing.  Domino Logic Design Considerations. ! Logic Comparisons. ! Memory.  Classification.  ROM Memories. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 9, 8 Memory Overview, Memory Core Cells Today! Charge Leakage/ " Domino Logic Design Considerations! Logic Comparisons! Memory " Classification

More information

A Modified Baum Welch Algorithm for Hidden Markov Models with Multiple Observation Spaces

A Modified Baum Welch Algorithm for Hidden Markov Models with Multiple Observation Spaces IEEE TRANSACTIONS ON SPEECH AND AUDIO PROCESSING, VOL. 9, NO. 4, MAY 2001 411 A Modified Baum Welch Algorithm for Hidden Markov Models with Multiple Observation Spaces Paul M. Baggenstoss, Member, IEEE

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

1 Computational problems

1 Computational problems 80240233: Computational Complexity Lecture 1 ITCS, Tsinghua Univesity, Fall 2007 9 October 2007 Instructor: Andrej Bogdanov Notes by: Andrej Bogdanov The aim of computational complexity theory is to study

More information

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types ECE-470 Digital Design II Memory Test Motivation Semiconductor memories are about 35% of the entire semiconductor market Memories are the most numerous IPs used in SOC designs Number of bits per chip continues

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: March 29, 2018 Memory Overview, Memory Core Cells Today! Charge Leakage/Charge Sharing " Domino Logic Design Considerations! Logic Comparisons!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 17, 2017 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION Muthiah M. A. and E. Logashanmugam Department of Electronics and Communication Engineering, Sathyabama University, Chennai, India E-Mail: muthiah.m.a@outlook.com

More information

Noise and Interference Characterization for MLC Flash Memories

Noise and Interference Characterization for MLC Flash Memories International Conference on Computing, Networking and Communications Invited Position Paper Track Noise and Interference Characterization for MLC Flash Memories Jaekyun Moon, Jaehyeong No Department of

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Slow Write Driver Faults in 65nm SRAM Technology: Analysis and March Test Solution

Slow Write Driver Faults in 65nm SRAM Technology: Analysis and March Test Solution Slow Write Driver Faults in 65nm SRAM Technology: Analysis and March Test Solution Alexandre Ney, Patrick Girard, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian To cite this

More information

ONE can design optical filters using different filter architectures.

ONE can design optical filters using different filter architectures. JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 28, NO. 23, DECEMBER 1, 2010 3463 Comparison of Cascade, Lattice, and Parallel Filter Architectures Rohit Patnaik, Vivek Vandrasi, Christi K. Madsen, Ali A. Eftekhar,

More information

Heap Charge Pump Optimisation by a Tapered Architecture

Heap Charge Pump Optimisation by a Tapered Architecture R. Arona, E. Bonizzoni, F. Maloberti, G. Torelli: "Heap Charge Pump Optimisation by a Tapered Architecture"; Proc. of the IEEE International Symposium on Circuits and Systems, ISCAS 2005, Kobe, 23-26 May,

More information

Implementing an Intelligent Error Back Propagation (EBP) Relay in PSCAD TM /EMTDC 4.2.1

Implementing an Intelligent Error Back Propagation (EBP) Relay in PSCAD TM /EMTDC 4.2.1 1 Implementing an Intelligent Error Back Propagation (EBP) Relay in PSCAD TM /EMTDC 4.2.1 E. William, IEEE Student Member, Brian K Johnson, IEEE Senior Member, M. Manic, IEEE Senior Member Abstract Power

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 19, 2016 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

Semiconductor Memories

Semiconductor Memories Semiconductor References: Adapted from: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles of CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Fault Tolerant Computing ECE 655

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Fault Tolerant Computing ECE 655 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Fault Tolerant Computing ECE 655 Part 1 Introduction C. M. Krishna Fall 2006 ECE655/Krishna Part.1.1 Prerequisites Basic courses in

More information

IN THE literature, significant research has been focused on

IN THE literature, significant research has been focused on 552 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 11, NO. 4, NOVEMBER 1998 Simulating the Impact of Pattern-Dependent Poly-CD Variation on Circuit Performance Brian E. Stine, Duane S. Boning,

More information

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories Digital Integrated Circuits A Design Perspective Semiconductor Chapter Overview Memory Classification Memory Architectures The Memory Core Periphery Reliability Case Studies Semiconductor Memory Classification

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression Faster Static Timing Analysis via Bus Compression by David Van Campenhout and Trevor Mudge CSE-TR-285-96 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical Engineering

More information

CONTROL charts are widely used in production processes

CONTROL charts are widely used in production processes 214 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 12, NO. 2, MAY 1999 Control Charts for Random and Fixed Components of Variation in the Case of Fixed Wafer Locations and Measurement Positions

More information

Center for Reliable Computing TECHNICAL NOTE. Some Faults Need an IDDQ Test

Center for Reliable Computing TECHNICAL NOTE. Some Faults Need an IDDQ Test Center for Reliable Computing TCHNICAL NOT ome Faults Need an IQ Test amy R. Makar and dward J. McCluskey 96-1 Center for Reliable Computing Gates Room # 235, MC 9020 Gates Building 2A (CL TN 96-462) Computer

More information

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation

Optimizing Stresses for Testing DRAM Cell Defects Using Electrical Simulation Optimizing Stresses for Testing RAM Cell efects Using Electrical Simulation Zaid Al-Ars Ad J. van de Goor Faculty of Information Technology and Systems Section of Computer Engineering elft University of

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

Chapter 1: Logic systems

Chapter 1: Logic systems Chapter 1: Logic systems 1: Logic gates Learning Objectives: At the end of this topic you should be able to: identify the symbols and truth tables for the following logic gates: NOT AND NAND OR NOR XOR

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

The Impact of Tolerance on Kill Ratio Estimation for Memory

The Impact of Tolerance on Kill Ratio Estimation for Memory 404 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 15, NO. 4, NOVEMBER 2002 The Impact of Tolerance on Kill Ratio Estimation for Memory Oliver D. Patterson, Member, IEEE Mark H. Hansen Abstract

More information

University of California. Santa Cruz. Carafe: An Inductive Fault Analysis Tool For CMOS VLSI Circuits. of the requirements for the degree of

University of California. Santa Cruz. Carafe: An Inductive Fault Analysis Tool For CMOS VLSI Circuits. of the requirements for the degree of University of California Santa Cruz Carafe: An Inductive Fault Analysis Tool For CMOS VLSI Circuits A thesis submitted in partial satisfaction of the requirements for the degree of Master of Science in

More information

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction

Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Trade-off Analysis between Timing Error Rate and Power Dissipation for Adaptive Speed Control with Timing Error Prediction Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, and Takao Onoye Dept. Information

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

An Autonomous Nonvolatile Memory Latch

An Autonomous Nonvolatile Memory Latch Radiant Technologies, Inc. 2835D Pan American Freeway NE Albuquerque, NM 87107 Tel: 505-842-8007 Fax: 505-842-0366 e-mail: radiant@ferrodevices.com www.ferrodevices.com An Autonomous Nonvolatile Memory

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

Built-In Test Generation for Synchronous Sequential Circuits

Built-In Test Generation for Synchronous Sequential Circuits Built-In Test Generation for Synchronous Sequential Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract We consider

More information

MANAGING INFORMATION. On the Complexity of Requirements Flow-down. An Integrate white paper

MANAGING INFORMATION. On the Complexity of Requirements Flow-down. An Integrate white paper MANAGING INFORMATION On the Complexity of Requirements Flow-down An Integrate white paper On the Complexity of Requirements Flow-down Structures An Integrate white paper On the Complexity of Requirements

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya

I. INTRODUCTION. CMOS Technology: An Introduction to QCA Technology As an. T. Srinivasa Padmaja, C. M. Sri Priya International Journal of Scientific Research in Computer Science, Engineering and Information Technology 2018 IJSRCSEIT Volume 3 Issue 5 ISSN : 2456-3307 Design and Implementation of Carry Look Ahead Adder

More information

CMOS Ising Computer to Help Optimize Social Infrastructure Systems

CMOS Ising Computer to Help Optimize Social Infrastructure Systems FEATURED ARTICLES Taking on Future Social Issues through Open Innovation Information Science for Greater Industrial Efficiency CMOS Ising Computer to Help Optimize Social Infrastructure Systems As the

More information