10-1. Yield 1 + D 0 A e D 0 A

Size: px
Start display at page:

Download "10-1. Yield 1 + D 0 A e D 0 A"

Transcription

1 ASIC Yield Estimation At Early Design Cycle Vonkyoung Kim Mick Tegetho* Tom Chen Department of Electrical Engineering Colorado State University Fort Collins, CO e{mail: TEL: (970) , (970) FAX: (970) *Hewlett{Packard Company Manufacturing Test Division Loveland, CO e{mail: TEL: (970) ABSTRACT This paper describes an ASIC yield model based on the CMOS bridge fault model. The model predicts defect sensitive area early in the design cycle as a function of number of gates and nets. 1 INTRODUCTION The semiconductor industry has continuously been looking for ways to improve yield and reduce manufacturing cost. Although chip yield is the key element of IC manufacturing economics, the estimation of yield early in the design cycle still remains a challenging problem. Early prediction of yield is important for costing and capacity estimations. An early warning of low yield may trigger design modications while there is still time, thus meeting the cost and quality goals. Previous research proposed various IC yield models, and Cunningham [1] summarized popular IC yield models. Popular yield models include Poisson, Murphy, Seeds, Moore, Price, and negative binomial. Table 1 summarizes chip yield models. All the above yield models are function of defect spectrum(defect density) D 0 and chip area A. Therefore, the accuracy of chip yield estimation mainly depends on the estimation accuracy of the chip area since the defect spectrum information is usually given by the fabrication line defect statistics. Figure 1 shows the yield graphs of ve popular IC yield models according to the average number of defects D 0 A. According to gure 1, Poisson yield model becomes more pessimistic as D 0 A value increases. The third column of table 1 shows the result for D 0 A = 4. Cunningham suggested to use Poisson yield model for IC chips where die sizes are relatively small ( 0.25 cm 2 or mil 2 ) or D 0 A products below 1. And yield of large VLSI chips which have large average number of defects value are better predicted by the negative binomial yield model because it considers the clustering of defects. An improvement in yield modeling was proposed [9] by Domer, Foertsch and Raskin. Their model es Table 1: Basic IC Yield Models Name Model Yield Poisson Y = e?d0a h i 2 Murphy [2] Y = ?e?D 0 A D 0A Seeds [3] Y = 1+D 1 0A Moore [4] Y = e?p D 0A Price [5] Dingwall [6] Neg. bin [7][8] Y = Q n i=1 Y = 1 + D0A 3 Y = 1 + D0A 1 1+D ia?3? n/a n/a timates the area which is sensitive to defects rather than using the whole die area. In their paper, an effective area 1 is estimated by three dierent types of circuit block such as logic, IO, and memory. The effective area is dened as an area which is sensitive to CMOS bridge faults, and more accurate yield prediction is possible by determining the eective area of the chip. They introduced a layout such SRAM is LOGIC is I=O is The eective area is dened as a product of total layout area and factor. However this eective area model does require chip layout information, and it neglects variation among the same circuit type. In our work, we propose a total sensitive area(tsa) model that can be used early in the design cycle, and that is not limited to circuit type, thus it is more accurate than the previous work. The sensitive chip area is estimated as a function of simple circuit parameters, which are usually available early in design cycle. Consequently, manufacturing chip yield can be estimated by the sensitive chip area obtained from the TSA model. 1 Eective area, sensitive area, critical area are used interchangeably in this paper.

2 1 Yield e D 0 A 1 + D 0 A 3 3 e D 0 1 A D 0 A 1 1+ D 0 A D 0 A 2 e D 0 A Figure 1: Chip Yield versus D 0 A for Five Yield Models 2 SENSITIVE AREA MODEL In the development of this model, we limited the scope to standard cell design, random logic, and inter{ gate routing bridge fault. However, we believe that the results are easily extendible to other circuit types. A bridge fault is modeled as a formation of low resistance path between two circuit nodes which forces circuit malfunctioning or performance degrading. The stuck{at fault model has been widely used as a standard fault model in IC industry, but recent work by Ferguson and Shen [10] showed conventional stuck{at fault model is not sucient to model all CMOS IC faults. Also Fritzemeier, Hawkins and Soden's paper [11] depicts the eectiveness of detecting CMOS bridge fault by using I DDQ test. And Shen, Maly and Ferguson's work on inductive fault analysis [12] proved bridge fault is the dominant fault type in VLSI chips. Sensitive area is dened as the area that is sensitive to bridge fault while rest of chip area is not sensitive to bridge fault. Since defect density is determined by IC process used, sensitive area remains the only variable that can be controlled by chip designers. Our objective was to develop a model which accurately estimates sensitive area of a circuit early in design stage with minimum number of circuit parameters. Consequently, a more accurate chip yield can be estimated by using the total sensitive area, TSA, rather than the whole area A. The proposed TSA model is shown in equation (1) which is a function of number of gates and number of nets. T SA = c 0 + c 1 gates 2 + c 2 p nets (1) Figure 2 shows three dimensional TSA model. The polynomial combination of parameter X(number of gates) and Y(number of nets) approximates extracted TSA(Z axis) data points, therefore estimated TSA function is mapped into three dimensional plane which Z Y 2500 Figure 2: Sensitive Area Model X is represented by parameter X and Y. The c 0, c 1, c 2 are layout dependent coecients, and these coecients will be changed according to the dierent CAD layout design packages such as automatic placer, router, layout compactor. 3 TSA Model Development Procedure The model was generated by determining the sensitive area of a number of circuits via inductive fault analysis, establishing a model to t the data as a function of circuit variables, and then validating with a number of dierent circuits. 3.1 Layout Generation We used the ISCAS 85 benchmark circuits and several internal CSU circuits to develop the model. The layouts of ISCAS 85 benchmark circuits and other benchmark circuits have been generated by automatic layout synthesis tools. 3.2 Sensitive Area Extraction Inductive Fault Analysis (IFA) methodology has been used to extract the sensitive area from the layouts. IFA is a systematic approach to extract all possible faults in an IC chip. Previous work [12][13] by Shen and Ferguson, Corsi and Morandi in IFA has proven eective to extract realistic CMOS bridge faults from a given circuit layout. 3.3 Parameter Selection Mapping the extracted TSA data into the optimum subspace consists of minimum number of circuit parameters among the possible circuit parameters which are number of gates (referenced structure, represents total number of gates) number of nets (including internal net, input pin, and output pin)

3 gate ratio (gates divided by area) logic depth (the longest logic path from an input to an output) cell size (transistor feature width) routing ratio (routing area as a percentage of the total block/ chip area) average fan{in/fan{out Among the possible circuit parameters, number of gates and nets showed good correspondence with experimental TSA data extracted from IFA, hence these two parameters are selected as model parameters. 3.4 Model Building Extracted TSA data are used to build the model through the curve tting of selected circuit parameters. As a result, an empirical rst order TSA model shown in equation (1) has been obtained. The behavior of a circuit's sensitive area could be better understood by a number of circuit parameters such as the number of gates, nets, I/Os, logic depth, routing ratio (ratio of routing area over total layout area), physical layout design rule of routing, etc. However, in real cases, not all of these circuit parameters are available in early design cycle, therefore the circuit parameters of the TSA model are selected in order to satisfy the following conditions. First, the selected circuit parameters need to be available early in the design phase. Second, the number of selected circuit parameters need to be minimized to reduce the redundancy. Even though TSA is a multi{dimensional function of many circuit parameters, it is possible to map the TSA into a smaller subspace by eliminating redundant circuit parameters. For example, the number of I/Os and the number of nets have positive correlation, therefore the number of I/Os is treated as a redundant circuit parameter. The rationale of these two constraints are following: The rst constraint makes early chip yield estimation possible, while the second constraint simplies the TSA model by reducing the number of variables. The proposed TSA model is obtained empirically through analysis of the experimental data and the curve tting method. The following procedure depicts the sensitive area estimation model development process. The proposed TSA model selects the most signicant circuit parameters among the possible circuit parameters. Among the possible circuit parameters, the number of gates and nets showed good correspondence with experimental TSA data extracted from Carafe IFA simulations. Therefore, these two parameters are selected as model parameters. The TSA model is shown in equation (2). T SA = c 0 + c 1 gates 2 + c 2 p nets (2) Where c 0 = , c 1 = ?5, c 2 = This TSA model equation is obtained empirically. TSA is proportional to the square of the number of gates, and proportional to the square root of the number of nets. In this work, the number of gates is the number of primitive gates which does not include complex gates. This equation can be understood in the following rationale. The physical area of the circuit increases in a square term for a linear increasing of the gates because placing a gate enlarges the layout dimension in both x and y directions. On the other hand, a linear increasing of the nets does not aect the layout in a square term, because the eect of increasing the number of nets can be absolved in the routing area since a single routing channel can be shared by multiple signal nodes. Therefore, its physical area impact is less signicant than that of the gate. The square and square root terms are obtained from curve tting. TSA / gates 2 TSA / p nets gates % ; T SA %% nets % ; T SA % The gates % implies that the number of gates has been increased while other parameters keep their values. And nets % implies that only the number of nets has been increased. The %% symbol denotes that TSA is more sensitive to the number of gates increase than the number of nets. 4 CHIP YIELD MODEL The next step in predicting the yield, is the selection of the actual yield model to be used. The Poisson yield model can be expressed as equation (3). Y = e?d0t SA (3) D 0 represents defect distribution statistics(defect density) per unit area. Another popular yield model is negative binomial that is dened in equation (4). Y = 1 + D? 0 T SA (4) where is usually referred to as the cluster parameter, and generally, increases with decreasing variance ( 2 ) in the distribution of defects. By selecting different values, various yield models such as Poisson, Murphy, Dingwall, and Seeds can be closely emulated [1]. Table 2 shows emulated yield models with corresponding values. The yield of the chip will be decreased exponentially by increasing TSA, or D 0 the defect density. This relationship is clear because the increasing of TSA implies the chip has more probability to be defective, while the increasing of D 0 implies poor process technology. Therefore, a high yield can be achieved by low defect density and small TSA.?T SA Y / e Y / e?d0 T SA % Y & D 0 % ; Y &

4 Table 2: Emulated Yield Models by Values Fab. Statistics Defect Density 10 to 1 Poisson 4.2 Murphy 3 Dingwall 1 Seeds Yield Model Circuit Parameters Sensitive Area Estimation Model Estimated TSA Chip Yield Model Predicted Chip Yield Figure 3: Chip Yield Estimation Process Figure 3 summarizes chip yield estimation process. The sensitive area estimation model estimates TSA of a chip and a selected yield model estimates the yield of the chip for a given defect density. 5 EXPERIMENTAL RESULTS The sensitive area model estimation result is shown in table 3. Ten ISCAS 85 benchmark circuits and nine other circuits selected from an edge detection chip are used to build the TSA model. Total ten benchmark circuits are used to build the TSA model and nine benchmark circuits are used to evaluate the model. The circuits used to build the model are not used to evaluate the model. In table 3, `xxx' indicates the circuit is used to build the model, hence it is excluded from calculating the estimation error. All of the benchmark circuits are fully combinational, with numbers of gates ranging from 100 upto The TSA column shows extracted TSA from the layout, and model column depicts estimated TSA by the model. Error column shows sensitive area estimation errors compared with layout extraction result. The TSA model estimation error is within 30% range which is acceptable for a rst order approximation without circuit layout and netlist data. Also note that the estimation error is small compared to the error incurred if one was to use the whole die area. Table 4 shows estimated yields of ve popular yield models based on the previous TSA results. Since real chip yield and defect statistic data are not available, detailed error analysis is omitted. The defect density D 0 is assumed to 1/cm 2 to simplify the scaling computation. Correct yield can be estimated by multiplying Table 3: Sensitive Area Extraction Results Name Gate Net TSA[10?4 cm 2 ] Extracted Model Error C C xxx xxx C C xxx xxx C C xxx xxx C C xxx xxx C C xxx xxx CIR xxx xxx CIR CIR xxx xxx CIR CIR xxx xxx CIR xxx xxx CIR CIR CIR xxx xxx Error.2851 real defect density data to the results. The yield model was used to predict the yield of a production part described in [14], which is a fully static standard cell design with 8577 gates and nets. Based on the number of gates and nets in the part without knowing its layout, the model predicted 0.63 cm 2 in total sensitive area. Using a defect density of 1/cm 2 and Seeds' yield model [3], the model predicted part's yield to be 61.3%. The actual yield of the part is 68.5%. Therefore, the model prediction error is 10.5% which we believe is a good rst order approximation useful for yield prediction at early design stages. The prediction accuracy may vary with dierent yield models used. For example, with increasing clustering (=4 as suggested in [14]), the predicted yield will be 55.7% which results in an 18.7% error. Table 4: Estimated Yield of Popular Yield Models Estimated Yield Name Pois Murp Seed Moor Ding Average C C499 xxx xxx xxx xxx xxx xxx C C1355 xxx xxx xxx xxx xxx xxx C C2670 xxx xxx xxx xxx xxx xxx C C5315 xxx xxx xxx xxx xxx xxx C C7552 xxx xxx xxx xxx xxx xxx CIR 1 xxx xxx xxx xxx xxx xxx CIR CIR 3 xxx xxx xxx xxx xxx xxx CIR CIR 5 xxx xxx xxx xxx xxx xxx CIR 6 xxx xxx xxx xxx xxx xxx CIR CIR CIR 9 xxx xxx xxx xxx xxx xxx

5 6 CONCLUSION We have proposed a model for early yield prediction, a sensitive area estimation model with minimum circuit parameters has been developed. A rst order chip yield prediction is obtained by utilizing the estimated sensitive area along with a given fabrication line defect statistics. The accuracy of this model is acceptable as a rst order approximation, and shows the possibility of early chip yield estimation. The TSA model depends upon design technology, and design tools including automatic placer, router, compactor as well as defect statistics. For a given design environment, a reasonably accurate TSA model can be obtained. The prediction accuracy of the model could be signicantly lower for a dierent design environment. This dependency is reected by the coef cients c 0, c 1, and c 2 in the model. Furthermore, the number of gates in a digital circuit is often proportional to the number of nets in the circuit and vice versa. Therefore, most of the data points for model t concentrate on the diagonal line of the model space shown in gure 2. The prediction accuracy for circuits whose gate/net ratios are signicantly o the diagonal line in the model space may be signicantly lower. 7 ACKNOWLEGEMENT We would like to acknowledge Hewlett Packard for funding this research, Wes Higaki for research support, Peter Maxwell, Je Rearick and Rob Aitken for technical consulting. We also acknowledge Alvin Jee and Jon Colburn of UCSC for the support of test CAD tools. References [1] J. Cunningham, \The Use and Evaluation of Yield Models in Integrated Circuit Manufacturing," IEEE Transactions on Semiconductor Manufacturing, vol. 3. No. 2, May 1990, pp [2] B. T. Murphy, \Cost{Size Optima of Monolithic Integrated Circuits," Proc. IEEE, vol. 52, December pp [3] R. B. Seeds, \Yield and Cost Analysis of Bipolar LSI," IEEE International Electron Devices Meeting, Washington D.C., October [4] G. E. Moore, \What Level of LSI is Best for You?," Electronics, vol. 43, Febrary pp [5] J. E. Price, \A New Look at Yield of Integrated Circuits," Proc. IEEE (Lett.), vol. 58, August pp [6] A. G. F. Dingwall, \High{Yield{Processed Bipolar LSI Arrays," IEEE International Electron Devices Meeting, Washington D.C., October [7] T. Okabe, M. Nagata and S. Shimada, \Analysis of Yield of Integrated Circuits and New Expression for the Yield," Electrical Engineering in Japan, vol. 92, December pp [8] C. H. Stapper, \Defect Density Distribution for LSI Yield Calculations," IEEE Trans. Electron Devices (corresp.), vol. ED20, July pp [9] S. Domer, S. Foertsch, G. Raskin, \Model for Yield and Manufacturing Prediction on VLSI Designs for Advanced Technologies, Mixed Circuitry, and Memories," IEEE Journal of Solid{ State Circuits, Vol. 30. No. 3, March pp [10] F. J. Ferguson and J. P. Shen, \Extraction and Simulation of Realistic CMOS Faults using Inductive Fault Analysis", International Test Conference, pp [11] R. R. Fritzemeier, C. F. Hawkins, J. M. Soden, \CMOS IC Fault Models, Physical Defect Coverage, and I DDQ Testing," IEEE 1991 CICC Proceedings, pp [12] J. P. Shen and F. J. Ferguson, \Inductive Fault Analysis of MOS Integrated Circuits," IEEE Design and Test, December 1985, pp [13] F. Corsi and C. Morandi, \Inductive fault analysis revisited," IEE Proceedings, G. Vol No. 2, April pp [14] P. C. Maxwell, R. C. Aitken, V. Johansen, I. Chiang, \The Eectiveness of I DDQ, Functional and Scan Tests: How Many Fault Coverages Do we Need?," Proc. of International Test Conference, pp , [15] A. Jee and C. Bazeghi, \Carafe User's Manual Release Alpha.4," University of California, Santa Cruz, UCSCCRL9420, June 13, [16] M. Abramovici, M. Breuer, A. Friedman, \Digital Systems Testing and Testable Design," Computer Science Press, New York, pp

incidence log(fault weight)

incidence log(fault weight) Fault Modeling and Defect Level Projections in Digital ICs J.T. Sousa, F.M. Goncalves, J.P. Teixeira, T.W. Williams INESC/IST, 117 Lisboa CODEX, PORTUGAL IBM, Boulder, Colorado 831-9191, USA Keywords:

More information

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs * Hans T. Heineken and Wojciech Maly Department of Electrical and Computer Engineering Carnegie Mellon

More information

University of California. Santa Cruz. Carafe: An Inductive Fault Analysis Tool For CMOS VLSI Circuits. of the requirements for the degree of

University of California. Santa Cruz. Carafe: An Inductive Fault Analysis Tool For CMOS VLSI Circuits. of the requirements for the degree of University of California Santa Cruz Carafe: An Inductive Fault Analysis Tool For CMOS VLSI Circuits A thesis submitted in partial satisfaction of the requirements for the degree of Master of Science in

More information

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008 MIT OpenCourseWare http://ocw.mit.edu 2.830J / 6.780J / ESD.63J Control of Processes (SMA 6303) Spring 2008 For information about citing these materials or our Terms of Use, visit: http://ocw.mit.edu/terms.

More information

mobility reduction design rule series resistance lateral electrical field transversal electrical field

mobility reduction design rule series resistance lateral electrical field transversal electrical field Compact Modelling of Submicron CMOS D.B.M. Klaassen Philips Research Laboratories, Eindhoven, The Netherlands ABSTRACT The accuracy of present-day compact MOS models and relevant benchmark criteria are

More information

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849,

More information

Department of. Computer Science. Empirical Estimation of Fault. Naixin Li and Yashwant K. Malaiya. August 20, Colorado State University

Department of. Computer Science. Empirical Estimation of Fault. Naixin Li and Yashwant K. Malaiya. August 20, Colorado State University Department of Computer Science Empirical Estimation of Fault Exposure Ratio Naixin Li and Yashwant K. Malaiya Technical Report CS-93-113 August 20, 1993 Colorado State University Empirical Estimation of

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE: EC 1354 SUB.NAME : VLSI DESIGN YEAR / SEMESTER: III / VI UNIT I MOS TRANSISTOR THEORY AND

More information

Chapter 2 Fault Modeling

Chapter 2 Fault Modeling Chapter 2 Fault Modeling Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why Model Faults? Fault Models (Faults)

More information

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University CS 530 Fault Modeling Yashwant K. Malaiya Colorado State University 1 Objectives The number of potential defects in a unit under test is extremely large. A fault-model presumes that most of the defects

More information

Model-Based I DDQ Pass/Fail Limit Setting

Model-Based I DDQ Pass/Fail Limit Setting Model-Based I DDQ Pass/Fail Limit Setting T. Aruna Unni Xilinx Corp. 21 Logic Drive, San Jose CA 955 Ph: (48) 879-5366, Fax: (48) 377-3259 Email: aruna@xilinx.com Abstract This paper describes several

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS Hussain Al-Asaad Department of Electrical & Computer Engineering University of California One Shields Avenue, Davis, CA 95616-5294

More information

Lecture 5 Fault Modeling

Lecture 5 Fault Modeling Lecture 5 Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes

More information

Analog VLSI Neural Networks. Abstract. We investigate the estimation of fault probabilities and yield for analog VLSI implementations

Analog VLSI Neural Networks. Abstract. We investigate the estimation of fault probabilities and yield for analog VLSI implementations On Fault Probabilities and Yield Models for Analog VLSI Neural Networks Paul M. Furth and Andreas G. Andreou Department of Electrical and Computer Engineering The Johns Hopkins University, Baltimore MD

More information

AOI21. Vdd. out1. Vdd GND. C 5 Interconnect Open FW X INV. Vdd C 3 C 6. out2 GND GND. Vsurf

AOI21. Vdd. out1. Vdd GND. C 5 Interconnect Open FW X INV. Vdd C 3 C 6. out2 GND GND. Vsurf Voltage and Current Based Fault Simulation for Interconnect Open Defects Haluk Konuk Abstract This paper describes a highly accurate and ecient fault simulator for interconnect opens in combinational or

More information

Longest Path Selection for Delay Test under Process Variation

Longest Path Selection for Delay Test under Process Variation 2093 1 Longest Path Selection for Delay Test under Process Variation Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker and Weiping Shi Abstract Under manufacturing process variation, a path through a net

More information

Predicting IC Defect Level using Diagnosis

Predicting IC Defect Level using Diagnosis 2014 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types

ECE-470 Digital Design II Memory Test. Memory Cells Per Chip. Failure Mechanisms. Motivation. Test Time in Seconds (Memory Size: n Bits) Fault Types ECE-470 Digital Design II Memory Test Motivation Semiconductor memories are about 35% of the entire semiconductor market Memories are the most numerous IPs used in SOC designs Number of bits per chip continues

More information

Extraction of Defect Density and Size Distributions

Extraction of Defect Density and Size Distributions 2006 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng.

More information

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference Copyright 1998 Elizabeth M. Rudnick 1 Modeling the effects

More information

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Problems to Think How are you going to test A 32 bit adder A 32 bit counter A 32Mb

More information

Is IDDQ Testing not Applicable for Deep Submicron VLSI in Year 2011?

Is IDDQ Testing not Applicable for Deep Submicron VLSI in Year 2011? Is IDDQ Testing not Applicable for Deep Submicron VLSI in Year 2011? Chih-Wen Lu Department of Electrical Engineering, Da Yeh University c w lu @ aries. d yu.edu. t w Chung Len Lee Department of Electronics

More information

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING Hussain Al-Asaad and Raymond Lee Computer Engineering Research Laboratory Department of Electrical & Computer Engineering University of California One

More information

PRODUCT yield plays a critical role in determining the

PRODUCT yield plays a critical role in determining the 140 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 1, FEBRUARY 2005 Monitoring Defects in IC Fabrication Using a Hotelling T 2 Control Chart Lee-Ing Tong, Chung-Ho Wang, and Chih-Li Huang

More information

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary

Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary Lecture on Memory Test Memory complexity Memory fault models March test algorithms Summary Extracted from Agrawal & Bushnell VLSI Test: Lecture 15 1 % of chip area Importance of memories Memories dominate

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

ECE 3060 VLSI and Advanced Digital Design. Testing

ECE 3060 VLSI and Advanced Digital Design. Testing ECE 3060 VLSI and Advanced Digital Design Testing Outline Definitions Faults and Errors Fault models and definitions Fault Detection Undetectable Faults can be used in synthesis Fault Simulation Observability

More information

VLSI Design I. Defect Mechanisms and Fault Models

VLSI Design I. Defect Mechanisms and Fault Models VLSI Design I Defect Mechanisms and Fault Models He s dead Jim... Overview Defects Fault models Goal: You know the difference between design and fabrication defects. You know sources of defects and you

More information

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs

Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs Defect-Oriented and Time-Constrained Wafer-Level Test-Length Selection for Core-Based Digital SoCs Sudarshan Bahukudumbi and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke

More information

An Autonomous Nonvolatile Memory Latch

An Autonomous Nonvolatile Memory Latch Radiant Technologies, Inc. 2835D Pan American Freeway NE Albuquerque, NM 87107 Tel: 505-842-8007 Fax: 505-842-0366 e-mail: radiant@ferrodevices.com www.ferrodevices.com An Autonomous Nonvolatile Memory

More information

STATISTICAL FAULT SIMULATION.

STATISTICAL FAULT SIMULATION. STATISTICAL FAULT SIMULATION. JINS DAVIS ALEXANDER, GRADUATE STUDENT, AUBURN UNIVERSITY. ABSTRACT: Fault simulation is used for the development or evaluation of manufacturing tests. However with the increase

More information

EC-121 Digital Logic Design

EC-121 Digital Logic Design EC-121 Digital Logic Design Lecture 2 [Updated on 02-04-18] Boolean Algebra and Logic Gates Dr Hashim Ali Spring 2018 Department of Computer Science and Engineering HITEC University Taxila!1 Overview What

More information

Fault Modeling. Fault Modeling Outline

Fault Modeling. Fault Modeling Outline Fault Modeling Outline Single Stuck-t Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of oolean Difference Copyright 1998 Elizabeth M. Rudnick

More information

Understanding Integrated Circuit Package Power Capabilities

Understanding Integrated Circuit Package Power Capabilities Understanding Integrated Circuit Package Power Capabilities INTRODUCTION The short and long term reliability of s interface circuits, like any integrated circuit, is very dependent on its environmental

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 17, 2017 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

KINGS COLLEGE OF ENGINEERING PUNALKULAM. DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK

KINGS COLLEGE OF ENGINEERING PUNALKULAM. DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK KINGS COLLEGE OF ENGINEERING PUNALKULAM. DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK SUBJECT CODE : EC1401 SEM / YEAR : VII/ IV SUBJECT NAME : VLSI DESIGN UNIT I CMOS TECHNOLOGY

More information

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands

Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies. Philips Research, The Netherlands Impact of parametric mismatch and fluctuations on performance and yield of deep-submicron CMOS technologies Hans Tuinhout, The Netherlands motivation: from deep submicron digital ULSI parametric spread

More information

Fault Tolerant Computing CS 530 Fault Modeling

Fault Tolerant Computing CS 530 Fault Modeling CS 53 Fault Modeling Yashwant K. Malaiya Colorado State University Fault Modeling Why fault modeling? Stuck-at / fault model The single fault assumption Bridging and delay faults MOS transistors and CMOS

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 2: January 19, 2016 MOS Fabrication pt. 1: Physics and Methodology Lecture Outline! Digital CMOS Basics! VLSI Fundamentals! Fabrication Process

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Chapter 2 Boolean Algebra and Logic Gates The most common postulates used to formulate various algebraic structures are: 1. Closure. N={1,2,3,4 }, for any a,b N we obtain a unique c N by the operation

More information

Extracting Defect Density and Size Distributions from Product ICs

Extracting Defect Density and Size Distributions from Product ICs Extracting Defect Density and Size Distributions from Product ICs Jeffrey E. Nelson, Thomas Zanon, Jason G. Brown, Osei Poku, R.D. (Shawn) Blanton, and Wojciech Maly Carnegie Mellon University Brady Benware

More information

Design For Testability Properties of AND/XOR Networks. Andisheh Sarabi, Marek A. Perkowski. Portland State University

Design For Testability Properties of AND/XOR Networks. Andisheh Sarabi, Marek A. Perkowski. Portland State University Design For Testability Properties of AND/XOR Networks Andisheh Sarabi, Marek A. Perkowski Department of Electrical Engineering Portland State University Portland, OR 90, USA Abstract AND/XOR networks include

More information

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling

Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Accurate Estimating Simultaneous Switching Noises by Using Application Specific Device Modeling Li Ding and Pinaki Mazumder Department of Electrical Engineering and Computer Science The University of Michigan,

More information

S No. Questions Bloom s Taxonomy Level UNIT-I

S No. Questions Bloom s Taxonomy Level UNIT-I GROUP-A (SHORT ANSWER QUESTIONS) S No. Questions Bloom s UNIT-I 1 Define oxidation & Classify different types of oxidation Remember 1 2 Explain about Ion implantation Understand 1 3 Describe lithography

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates

Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates Design and Implementation of Carry Adders Using Adiabatic and Reversible Logic Gates B.BharathKumar 1, ShaikAsra Tabassum 2 1 Research Scholar, Dept of ECE, Lords Institute of Engineering & Technology,

More information

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Simulation Instructor: Maria K. Michael Overview What is simulation? Design verification Modeling Levels Modeling circuits for simulation True-value simulation

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Understanding Integrated Circuit Package Power Capabilities

Understanding Integrated Circuit Package Power Capabilities Understanding Integrated Circuit Package Power Capabilities INTRODUCTION The short and long term reliability of National Semiconductor s interface circuits like any integrated circuit is very dependent

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

A Novel LUT Using Quaternary Logic

A Novel LUT Using Quaternary Logic A Novel LUT Using Quaternary Logic 1*GEETHA N S 2SATHYAVATHI, N S 1Department of ECE, Applied Electronics, Sri Balaji Chockalingam Engineering College, Arani,TN, India. 2Assistant Professor, Department

More information

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16]

3. Design a stick diagram for the PMOS logic shown below [16] Y = (A + B).C. 4. Design a layout diagram for the CMOS logic shown below [16] Code No: RR420203 Set No. 1 1. (a) Find g m and r ds for an n-channel transistor with V GS = 1.2V; V tn = 0.8V; W/L = 10; µncox = 92 µa/v 2 and V DS = Veff + 0.5V The out put impedance constant. λ = 95.3

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 13, 2017 Variation; I/O Circuits, Inductive Noise Lecture Outline! Design Quality " Variation! Packaging! Variation and Testing!

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 17, 2018 I/O Circuits, Inductive Noise, CLK Generation Lecture Outline! Packaging! Variation and Testing! I/O Circuits! Inductive

More information

Logic BIST. Sungho Kang Yonsei University

Logic BIST. Sungho Kang Yonsei University Logic BIST Sungho Kang Yonsei University Outline Introduction Basics Issues Weighted Random Pattern Generation BIST Architectures Deterministic BIST Conclusion 2 Built In Self Test Test/ Normal Input Pattern

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation *

PARADE: PARAmetric Delay Evaluation Under Process Variation * PARADE: PARAmetric Delay Evaluation Under Process Variation * Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University

More information

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors. Testability Lecture 6: Logic Simulation Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from the presentation prepared by book authors Slide 1 of 27 Outline What

More information

PLA Minimization for Low Power VLSI Designs

PLA Minimization for Low Power VLSI Designs PLA Minimization for Low Power VLSI Designs Sasan Iman, Massoud Pedram Department of Electrical Engineering - Systems University of Southern California Chi-ying Tsui Department of Electrical and Electronics

More information

Advanced Testing. EE5375 ADD II Prof. MacDonald

Advanced Testing. EE5375 ADD II Prof. MacDonald Advanced Testing EE5375 ADD II Prof. MacDonald Functional Testing l Original testing method l Run chip from reset l Tester emulates the outside world l Chip runs functionally with internally generated

More information

Generation of High Quality Non-Robust Tests for Path Delay Faults

Generation of High Quality Non-Robust Tests for Path Delay Faults Generation of High Quality Non-Robust Tests for Path Delay Faults Kwang-Ting Cheng Hsi-Chuan Chen Department of ECE AT&T Bell Laboratories University of California Murray Hill, NJ 07974 Santa Barbara,

More information

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version)

PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) PARADE: PARAmetric Delay Evaluation Under Process Variation * (Revised Version) Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker, Weiping Shi Dept. of Electrical Engineering Dept. of Computer Science Texas

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DS0026 Dual High-Speed MOS Driver General Description DS0026 is a low cost

More information

Chapter 2. Design and Fabrication of VLSI Devices

Chapter 2. Design and Fabrication of VLSI Devices Chapter 2 Design and Fabrication of VLSI Devices Jason Cong 1 Design and Fabrication of VLSI Devices Objectives: To study the materials used in fabrication of VLSI devices. To study the structure of devices

More information

Features. Y Low power TTL Fan out of 2 driving 74L. Y 5V 10V 15V parametric ratings. Y Symmetrical output characteristics

Features. Y Low power TTL Fan out of 2 driving 74L. Y 5V 10V 15V parametric ratings. Y Symmetrical output characteristics CD4001BM CD4001BC Quad 2-Input NOR Buffered B Series Gate CD4011BM CD4011BC Quad 2-Input NAND Buffered B Series Gate General Description These quad gates are monolithic complementary MOS (CMOS) integrated

More information

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield

EE 330 Lecture 3. Basic Concepts. Feature Sizes, Manufacturing Costs, and Yield EE 330 Lecture 3 Basic Concepts Feature Sizes, Manufacturing Costs, and Yield Review from Last Time Analog Flow VLSI Design Flow Summary System Description Circuit Design (Schematic) SPICE Simulation Simulation

More information

New e$cient totally self-checking Berger code checkers

New e$cient totally self-checking Berger code checkers INTEGRATION, the VLSI journal 28 (1999) 101}118 New e$cient totally self-checking Berger code checkers X. Kavousianos, D. Nikolos*, G. Foukarakis, T. Gnardellis Department of Computer Engineering and Informatics,

More information

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA

DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA DIAGNOSIS OF FAULT IN TESTABLE REVERSIBLE SEQUENTIAL CIRCUITS USING MULTIPLEXER CONSERVATIVE QUANTUM DOT CELLULAR AUTOMATA Nikitha.S.Paulin 1, S.Abirami 2, Prabu Venkateswaran.S 3 1, 2 PG students / VLSI

More information

MM54C14 MM74C14 Hex Schmitt Trigger

MM54C14 MM74C14 Hex Schmitt Trigger MM54C14 MM74C14 Hex Schmitt Trigger General Description The MM54C14 MM74C14 Hex Schmitt Trigger is a monolithic complementary MOS (CMOS) integrated circuit constructed with N- and P-channel enhancement

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Outline Fault Simulation

Outline Fault Simulation K.T. Tim Cheng, 4_fault_sim, v. Outline Fault Simulation Applications of fault simulation Fault coverage vs product quality Fault simulation scenarios Fault simulation algorithms Fault sampling K.T. Tim

More information

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class Fault Modeling 李昆忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan Class Fault Modeling Some Definitions Why Modeling Faults Various Fault Models Fault Detection

More information

Realistic Faults Mapping Scheme for the Fault Simulation of Integrated Analogue CMOS Circuits

Realistic Faults Mapping Scheme for the Fault Simulation of Integrated Analogue CMOS Circuits Realistic Faults Mapping Scheme for the Fault Simulation of Integrated Analogue CMOS Circuits Michael J. Ohletz Institut für Theoretische Elektrotechnik - Universität Hannover - Germany email: mo@tet.uni-hannover.de

More information

MM74C912 6-Digit BCD Display Controller Driver MM74C917 6-Digit Hex Display Controller Driver

MM74C912 6-Digit BCD Display Controller Driver MM74C917 6-Digit Hex Display Controller Driver MM74C912 6-Digit BCD Display Controller Driver MM74C917 6-Digit Hex Display Controller Driver General Description The MM74C912 MM74C917 display controllers are interface elements with memory that drive

More information

A New Multiple Weight Set Calculation Algorithm

A New Multiple Weight Set Calculation Algorithm A New Multiple Weight Set Calculation Algorithm Hong-Sik Kim Jin-kyue Lee Sungho Kang hskim@dopey.yonsei.ac.kr jklee@cowboys.yonsei.ac.kr shkang@yonsei.ac.kr Dept. of Electrical Eng. Yonsei Univ. Shinchon-dong

More information

VLSI. Faculty. Srikanth

VLSI. Faculty. Srikanth J.B. Institute of Engineering & Technology Department of CSE COURSE FILE VLSI Faculty Srikanth J.B. Institute of Engineering & Technology Department of CSE SYLLABUS Subject Name: VLSI Subject Code: VLSI

More information

Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell

Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell Electrical modeling of the photoelectric effect induced by a pulsed laser applied to an SRAM cell A. Sarafianos, C. Roscian, Jean-Max Dutertre, M. Lisart, A. Tria To cite this version: A. Sarafianos, C.

More information

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic

A Novel Ternary Content-Addressable Memory (TCAM) Design Using Reversible Logic 2015 28th International Conference 2015 on 28th VLSI International Design and Conference 2015 14th International VLSI Design Conference on Embedded Systems A Novel Ternary Content-Addressable Memory (TCAM)

More information

MM74C14 Hex Schmitt Trigger

MM74C14 Hex Schmitt Trigger MM74C14 Hex Schmitt Trigger General Description The MM74C14 Hex Schmitt Trigger is a monolithic complementary MOS (CMOS) integrated circuit constructed with N- and P-channel enhancement transistors. The

More information

Features. Y Low power TTL Fan out of 2 driving 74L. Y 5V 10V 15V parametric ratings. Y Symmetrical output characteristics

Features. Y Low power TTL Fan out of 2 driving 74L. Y 5V 10V 15V parametric ratings. Y Symmetrical output characteristics CD4071BM CD4071BC Quad 2-Input OR Buffered B Series Gate CD4081BM CD4081BC Quad 2-Input AND Buffered B Series Gate General Description These quad gates are monolithic complementary MOS (CMOS) integrated

More information

THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS. Yasuo Osone*

THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS. Yasuo Osone* Nice, Côte d Azur, France, 27-29 September 26 THERMAL DESIGN OF POWER SEMICONDUCTOR MODULES FOR MOBILE COMMNICATION SYSYTEMS Yasuo Osone* *Mechanical Engineering Research Laboratory, Hitachi, Ltd., 832-2

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Reduction of Self-heating effect in LDMOS devices

Reduction of Self-heating effect in LDMOS devices Reduction of Self-heating effect in LDMOS devices T.K.Maiti * and C. K. Maiti ** Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur-721302, India

More information

ANALYSIS OF DEFECT MAPS OF LARGE AREA VLSI IC's

ANALYSIS OF DEFECT MAPS OF LARGE AREA VLSI IC's ANALYSIS OF DEFECT MAPS OF LARGE AREA VLSI IC's Israel Koren, Zahava Karen*, and Charles H. Stapper** Department of Electrical and Computer Engineering *Department of Industrial Engineering and Operations

More information

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION

DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION DISTANCE BASED REORDERING FOR TEST DATA COMPRESSION Muthiah M. A. and E. Logashanmugam Department of Electronics and Communication Engineering, Sathyabama University, Chennai, India E-Mail: muthiah.m.a@outlook.com

More information

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Next Time on Parametric Yield. What Drives Worst Case Analysis? Next Time on Parametric Yield Current and Advanced DFM techniques Worst Case Files The role of process simulation (TCAD) Complete Process Characterization Statistical Design The economics of DFM 1 What

More information

MM74C14 Hex Schmitt Trigger

MM74C14 Hex Schmitt Trigger MM74C14 Hex Schmitt Trigger General Description The MM74C14 Hex Schmitt Trigger is a monolithic complementary MOS (CMOS) integrated circuit constructed with N- and P-channel enhancement transistors. The

More information

interconnect do not appear to be scaling at the same rate. Figure 1 shows the general trend in the ratio between within-die and total variation for so

interconnect do not appear to be scaling at the same rate. Figure 1 shows the general trend in the ratio between within-die and total variation for so Chapter 6: Models of Process Variations in Device and Interconnect Duane S. Boning Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology, Cambridge, MA Sani Nassif

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Final Examination CLOSED BOOK Kewal K. Saluja Date:

More information

An addition to the methods of test determination for fault detection in combinational circuits

An addition to the methods of test determination for fault detection in combinational circuits Acta Cybernetica 16 (2004) 545 566 An addition to the methods of test determination for fault detection in combinational circuits Ljubomir Cvetković Abstract We propose a procedure for determining fault

More information

Features. Y Low power TTL Fan out of 2 driving 74L. Y 5V 10V 15V parametric ratings. Y Symmetrical output characteristics

Features. Y Low power TTL Fan out of 2 driving 74L. Y 5V 10V 15V parametric ratings. Y Symmetrical output characteristics CD4001BM CD4001BC Quad 2-Input NOR Buffered B Series Gate CD4011BM CD4011BC Quad 2-Input NAND Buffered B Series Gate General Description These quad gates are monolithic complementary MOS (CMOS) integrated

More information

DS0026 Dual High-Speed MOS Driver

DS0026 Dual High-Speed MOS Driver Dual High-Speed MOS Driver General Description DS0026 is a low cost monolithic high speed two phase MOS clock driver and interface circuit. Unique circuit design provides both very high speed operation

More information

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations Chapter 2 Process Variability Overview Parameter variability has always been an issue in integrated circuits. However, comparing with the size of devices, it is relatively increasing with technology evolution,

More information

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks

Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST 2002 487 Simultaneous Switching Noise in On-Chip CMOS Power Distribution Networks Kevin T. Tang and Eby G. Friedman,

More information

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology

Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Novel Bit Adder Using Arithmetic Logic Unit of QCA Technology Uppoju Shiva Jyothi M.Tech (ES & VLSI Design), Malla Reddy Engineering College For Women, Secunderabad. Abstract: Quantum cellular automata

More information

A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration

A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration A Novel Cell Placement Algorithm for Flexible TFT Circuit with Mechanical Strain and Temperature Consideration Jiun-Li Lin, Po-Hsun Wu, and Tsung-Yi Ho Department of Computer Science and Information Engineering,

More information