New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza

Size: px
Start display at page:

Download "New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza"

Transcription

1 New Exploration Frameworks for Temperature-Aware Degn of MPSoCs Prof. David Atienza Dept Computer Architecture and Systems Engineering (DACYA) Complutense Univerty of Madrid, Spain Integrated Systems Lab (LSI) École Polytechnique Fédérale de Lausanne (EPFL), Switzerland Château St. Gerlach, Valkenburg, The Netherlands Evolution of Electronics to Multi-Processor System-on on-chip (MPSoC) Roadmap continues: nm CMOS 90nmCMOS 65nm CMOS 45nm Multi-Processor System-on on-chip (MPSoC) architectures: complex HW and SW PE CPU [Cell Local Multi-Processor PS3] i/o hierarchy Multi-Processor Operating 80-tile System (MPOS) I/0 PE 1.28TFLOPS PE PE MPSoC INTEL I/0 SRAM [ISSCC SRAM 07] PE Sw application I/O 1... SRAM I/O P E R I P H E R A L S Sw application N SDRAM main memory 2

2 Degn Issues in MPSoCs MPSoCs have very sophisticated architectures Complex components and CAD tools very expenve Time-closure issues, system speed decreased Increang thermal issues Hot-spots, non-uniform thermal gradients [Sun, 1.8 GHz Sparc v9 Microproc] [Santirini, EDN, March 05] [Sun, Niagara Broadband Processor] [Coskun et al 07, Sun] High chances of thermal wear-outs and very short lifetimes! 3 Advocating Thermal-Aware Degn Integration of HW/SW modeling and management Thermal and architectural information HW-SW emulation frameworks HW Thermal monitoring HW Tuning knobs HW reconfigurable architecture HW-Based Thermal Management Policies SW-Based Thermal Management Policies HW and compiler-level level algorithms OS and application-level level algorithms 4

3 Introduction Outline MPSoC thermal modeling Computational infrastructure Closed-loop loop statistics extraction system Thermal model Case studies Summary and concluons 5 MPSoC Thermal Modeling Problem Continuous heat flow analys Capture geometrical characteristics of MPSoCs Explore different packaging features and heat nk characteristics Time-variant heat sources Transtor switching depends on MPSoC run-time activity Dynamic interaction with heat flow Very complex computational problem! 6

4 MPSoC Thermal Modeling State-of of-the-art MPSoC Modeling and Exploration: 1. SW mulation: Transactions, cyclec ycle-accurate (~100 KHz) [Synopsys Realview, Mentor Primecell, Madsen et al., Angiolini et al.] At the dered cycle-accurate level, they are too slow for thermal analys of real-life life applications! 2. HW prototyping on FPGAs: Core dependent (~MHz): [Cadence Palladium II, ARM Integrator IP, Heron Eng, Marescaux et al.] Very expenve and late in degn flow, large man- power to perform MPSoC system-level exploration! Combination of cycle-accurate MPSoC behavior and run-time IC heat flow modeling is unheard of Heat Flow Modeling: 1. Software thermal/power models [Skadron et al., Kang et al.] Too computationally intenve, not able to use detailed run-time inputs from MPSoC components! 7 Orthogonalizing MPSoC Thermal Modeling and Analys Multi-Processor Operating System I/O (MPOS) CPU CPU Sw app 1... Sw app N SRAM SRAM SRAM I/O sniffer CPU sniffer CPU sniffer sniffer sniffer sniffer FPGA sniffer sniffer sniffer interconnect interconnect Energy Temperature (T) SW thermal estimation tool (Host PC) Framework: MPSoC behavioral model on reconfigurable HW interacting with efficient thermal estimation 8

5 Emulation vs. Simulation/Prototyping To emulate an electronic system is to build a platform capable of imitating its behaviour in an accurate and analyzable way SW Simulation Lower performance Maximum flexibility Poor accuracy in thermal analyses HW Emulation Good performance Good flexibility Independent from actual HW Accurate thermal modelling HW Prototyping Close to final performance Reduced flexibility Dependent on available HW (May alter results) More efficient than SW mulation More flexible than pure HW prototyping Thermal behavior analys earlier than HW prototyping 9 MPSoC Architecture Emulation Proc. Controller shared memory link D-Cache I-Cache Scratchpad Cacheable Private Emulated MPSoC Sub-system 1 Emulated MPSoC Sub-system N Procesng cores (hard & soft) Interconnections (buses & NoCs) Comm. Bridge Interchangeable Interconnect (System Buses and NoCs) Main. Mem Sub-system Comm. Bridge Shared Main 1 Interrupt controller HW semaphore subsystem (cache, main, ) Extra peripherals (HW semaphore, interrupt controller) 10

6 Degning the Emulated Architecture What we want VS. What we have Dered: A fast memory Latency: 1 cycle Mapping Phycal resource: On board BRAM Latency: 1 cycle Proc. LOCAL BUS Controller Cache Cache (BRAM) Main 11 Degning the Emulated Architecture What we want VS. What we have Dered: Big memory Latency: 3 cycles Mapping Phycal resource: On board DDR RAM Latency: 5 cycles Proc. LOCAL BUS Controller Cache Main 12

7 Degning the Emulated Architecture 2) Clock management VPCM Module (Frequency Scaling Module) Virtual CLK Virtual CLK suppreson Proposed solution: Mapping + Clock management Proc. LOCAL BUS Controller Cache Main Main (DDR RAM) 1) Mapping 13 Statistics Extraction Subsystem Another clock domain (100 MHz) Dedicated bus & HW: Not intruve, concurrently running 2 Types of statistics: Event counting accesses tracing 14

8 Sending Energy Values Emulated MPSoC Event Event Event SNF_0 Log Look-Up table SNF_1 Log Look-Up table SNF_N Log Look-Up table Statistics Bus Messag 0 Messag 1 Messag n VPCM Statistics Manager (procesng) BRAM buffer Packet 2 Packet 0 Packet 1 When connection available Ethernet Controller Sw thermal estimation tool 15 Receiving Temperatures Sensor_0 Emulated MPSoC Sensor_1 Sensor_N Statistics Bus Messag 0 Messag 1 Messag n VPCM Statistics Manager (procesng) BRAM buffer Packet 0 Ethernet Controller Sw thermal estimation tool 16

9 Chip and Package Heat Flow Modeling Model interface Input: power model of MPSoC components, geometrical properties Output: temperature of MPSoC components at run-time Thermal circuit: 1 st order RC circuit Heat flow ~ Electrical current ; Temperature ~ Voltage Heat spreader and IC composed of elementary blocks Cu cu cu cu cu Si thermal conductivity depends on temperature (IMEC & Freescale, 90nm) IC package Heat spreader Package pin IC die PCB Thermal capacitance conductance matrix matrix C,1 G 1,2 -G 1,2. C,2 -G 2,1 G 2,1 C t k = - G (t k )t k + p k ; k = 1..m Temperature change C cu,n Temperature power consumption vector at instant vector k 17 SW Thermal Estimation Tool for MPSoCs Creating linear approximation while retaining variable Si thermal conductivity: Si thermal conductivity linearly approx. : G (t k ) = I + q Si thermal conductivity linearly approx. : G i,j Numerically integrating. in discrete time domain the t k : t k+1 = A(t k )t k + Bp k ; k = 1..m 1600 A(t k ) = (I - d t C G(t k )) ; B = d t C Non-linear -1 thermal estim. Heat flow estimation Time (S.) Complexity scales linearly with the number of modeled cells (mulated on Time P4@ 3GHz) step chosen small 800 thermal library enough validated for convergence against 3D finite element 200 model (IMEC & Freescale) 0. C t k = - G (t k )t k + p k ; k = 1..m i,j (t = I + q t k Si thermal conductivity dependent on temperature Proposed linear thermal estimation

10 Introduction Outline MPSoC thermal modeling Computational infrastructure Closed-loop loop statistics extraction system Thermal model Case studies Summary and concluons 19 Case Study 1: 4-Core 4 MPSoC MPSoC Philips board degn: 4 processors, DVFS: 100/500 MHz Plastic packaging Software: Image watermarking, video rendering Power values for 90nm: Element Max Power (mw) 100 MHz Max Power (mw) 500 MHz Processor 2,92 x ,02 x 10 3 D-Cache 1,42 x ,10 x 10 2 I-Cache 1,42 x ,10 x 10 2 Priv Mem 0,61 x ,75 x 10 2 AMBA 0,31 x ,68 x

11 Thermal Validation 4-Core 4 MPSoC MPARM: MPSoC SW mulator: power/thermal models tuned for Philips Simulations too slow: 2 days for 0.18 real sec (12 cells) HW thermal emulation able to validate policies at run-time Very fast validation of MPSoC Dynamic Voltage and Frequency Scaling (DVFS) ) based on thresholds weeks of mulation?! run-time thermal behavior and management HW/SW Thermal Emulation time 45 sec (128 cells)! DVFS ON: 500/100 MHz. Package limit (~85ºC) 500 MHz. 100 MHz. 21 Case Study 2: Multi-Core Thermal Control HW: Sun 8-core 8 Niagara MPOS: Solaris Multi-Core SW: Web & gnal procesng Standard threshold-based DVFS Total run-time of benchmarks: 180 sec 106 sec (45% performance improvement) Proposed Convex-Based DVFS Enhancing thermal control in 90nm multi-cores 22

12 Introduction Outline MPSoC thermal modeling Computational infrastructure Closed-loop loop statistics extraction system Thermal model Case studies Summary and concluons 23 Concluons Shrinking is providing new procesng capabilities through MPSoCs, but creating critical thermal issues Need for new thermal-aware aware modeling methods Orthogonalization of behavior extraction and thermal modeling Novel MPSoC emulation on reconfigurable HW and SW thermal modeling to efficiently extract the behavior of time-variant heat sources Closed-loop loop thermal evaluation framework enabling run-time testing of thermal management in real MPSoC platforms Validation with commercial MPSoC platforms: Fast exploration of thermal behavior of complex MPSoCs Effective tuning of thermal management Thermal run-away avoided with HW-based policies, max. throughput Thermal balancing with negligible performance overhead 24

13 QUESTIONS? Acknowledgements: UCSD / Sun IMEC / Philips Freescale semiconductors 25

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Francesco Zanini, David Atienza, Ayse K. Coskun, Giovanni De Micheli Integrated Systems Laboratory (LSI), EPFL,

More information

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Francesco Zanini, David Atienza, Ayse K. Coskun, Giovanni De Micheli Integrated Systems Laboratory (LSI), EPFL,

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Considering Variable and Fixed Components of the System Power Dissipation

Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Considering Variable and Fixed Components of the System Power Dissipation Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Csidering Variable and Fixed Compents of the System Power Dissipati Kihwan Choi W-bok Lee Ramakrishna Soma Massoud Pedram University of

More information

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System TU Wien 1 Energy Efficiency Outline 2 Basic Concepts Energy Estimation Hardware Scaling Power Gating Software Techniques Energy Sources 3 Why are Energy and Power Awareness Important? The widespread use

More information

Memory Thermal Management 101

Memory Thermal Management 101 Memory Thermal Management 101 Overview With the continuing industry trends towards smaller, faster, and higher power memories, thermal management is becoming increasingly important. Not only are device

More information

Model predictive control and selflearning of thermal models for multi-core platforms*

Model predictive control and selflearning of thermal models for multi-core platforms* Model predictive control and selflearning of thermal models for multi-core platforms* Luca Benini Luca.benini@unibo.it *Work supported by Intel Labs Braunschweig Co2 Emissions % The Power Crisis Run-time

More information

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota Adding a New Dimension to Physical Design Sachin Sapatnekar University of Minnesota 1 Outline What is 3D about? Why 3D? 3D-specific challenges 3D analysis and optimization 2 Planning a city: Land usage

More information

Performance Metrics for Computer Systems. CASS 2018 Lavanya Ramapantulu

Performance Metrics for Computer Systems. CASS 2018 Lavanya Ramapantulu Performance Metrics for Computer Systems CASS 2018 Lavanya Ramapantulu Eight Great Ideas in Computer Architecture Design for Moore s Law Use abstraction to simplify design Make the common case fast Performance

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Lecture 2: Metrics to Evaluate Systems

Lecture 2: Metrics to Evaluate Systems Lecture 2: Metrics to Evaluate Systems Topics: Metrics: power, reliability, cost, benchmark suites, performance equation, summarizing performance with AM, GM, HM Sign up for the class mailing list! Video

More information

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors

More information

Performance Metrics & Architectural Adaptivity. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance Metrics & Architectural Adaptivity. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance Metrics & Architectural Adaptivity ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So What are the Options? Power Consumption Activity factor (amount of circuit switching) Load Capacitance (size

More information

Intel Stratix 10 Thermal Modeling and Management

Intel Stratix 10 Thermal Modeling and Management Intel Stratix 10 Thermal Modeling and Management Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 List of Abbreviations...

More information

Mitigating Semiconductor Hotspots

Mitigating Semiconductor Hotspots Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919) 485-5509 slee@nextremethermal.com www.nextremethermal.com 1 Agenda Motivation

More information

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay SP-CNN: A Scalable and Programmable CNN-based Accelerator Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay Motivation Power is a first-order design constraint, especially for embedded devices. Certain

More information

ab initio Electronic Structure Calculations

ab initio Electronic Structure Calculations ab initio Electronic Structure Calculations New scalability frontiers using the BG/L Supercomputer C. Bekas, A. Curioni and W. Andreoni IBM, Zurich Research Laboratory Rueschlikon 8803, Switzerland ab

More information

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance, Power & Energy ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Recall: Goal of this class Performance Reconfiguration Power/ Energy H. So, Sp10 Lecture 3 - ELEC8106/6102 2 PERFORMANCE EVALUATION

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Weather Research and Forecasting (WRF) Performance Benchmark and Profiling. July 2012

Weather Research and Forecasting (WRF) Performance Benchmark and Profiling. July 2012 Weather Research and Forecasting (WRF) Performance Benchmark and Profiling July 2012 Note The following research was performed under the HPC Advisory Council activities Participating vendors: Intel, Dell,

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Optimizing Loop Operation and Dataflow in FPGA Acceleration of Deep Convolutional Neural Networks

Optimizing Loop Operation and Dataflow in FPGA Acceleration of Deep Convolutional Neural Networks 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays Optimizing Loop Operation and Dataflow in FPGA Acceleration of Deep Convolutional Neural Networks Yufei Ma, Yu Cao, Sarma Vrudhula,

More information

Tracking board design for the SHAGARE stratospheric balloon project. Supervisor : René Beuchat Student : Joël Vallone

Tracking board design for the SHAGARE stratospheric balloon project. Supervisor : René Beuchat Student : Joël Vallone Tracking board design for the SHAGARE stratospheric balloon project Supervisor : René Beuchat Student : Joël Vallone Motivation Send & track a gamma-ray sensor in the stratosphere with a meteorological

More information

Modern Computer Architecture

Modern Computer Architecture Modern Computer Architecture Lecture1 Fundamentals of Quantitative Design and Analysis (II) Hongbin Sun 国家集成电路人才培养基地 Xi an Jiaotong University 1.4 Trends in Technology Logic: transistor density 35%/year,

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Lecture 27: Hardware Acceleration. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 27: Hardware Acceleration. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 27: Hardware Acceleration James C. Hoe Department of ECE Carnegie Mellon niversity 18 447 S18 L27 S1, James C. Hoe, CM/ECE/CALCM, 2018 18 447 S18 L27 S2, James C. Hoe, CM/ECE/CALCM, 2018

More information

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 12: Energy and Power James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L12 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Housekeeping Your goal today a working understanding of

More information

A compositional approach to embedded system performance analysis

A compositional approach to embedded system performance analysis A compositional approach to embedded system performance analysis R. Ernst TU Braunschweig R. Ernst, TU Braunschweig 1 Overview heterogeneous embedded architectures formal performance analysis holistic

More information

Molecular Dynamics Simulations

Molecular Dynamics Simulations MDGRAPE-3 chip: A 165- Gflops application-specific LSI for Molecular Dynamics Simulations Makoto Taiji High-Performance Biocomputing Research Team Genomic Sciences Center, RIKEN Molecular Dynamics Simulations

More information

BeiHang Short Course, Part 7: HW Acceleration: It s about Performance, Energy and Power

BeiHang Short Course, Part 7: HW Acceleration: It s about Performance, Energy and Power BeiHang Short Course, Part 7: HW Acceleration: It s about Performance, Energy and Power James C. Hoe Department of ECE Carnegie Mellon niversity Eric S. Chung, et al., Single chip Heterogeneous Computing:

More information

Temperature-Aware Analysis and Scheduling

Temperature-Aware Analysis and Scheduling Temperature-Aware Analysis and Scheduling Lothar Thiele, Pratyush Kumar Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go

More information

Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners

Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners José I. Aliaga Leveraging task-parallelism in energy-efficient ILU preconditioners Universidad Jaime I (Castellón, Spain) José I. Aliaga

More information

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of ESE532: System-on-a-Chip Architecture Day 20: November 8, 2017 Energy Today Energy Today s bottleneck What drives Efficiency of Processors, FPGAs, accelerators How does parallelism impact energy? 1 2 Message

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Cyrus: Unintrusive Application-Level Record-Replay for Replay Parallelism

Cyrus: Unintrusive Application-Level Record-Replay for Replay Parallelism Cyrus: Unintrusive Application-Level Record-Replay for Replay Parallelism Nima Honarmand, Nathan Dautenhahn, Josep Torrellas and Samuel T. King (UIUC) Gilles Pokam and Cristiano Pereira (Intel) iacoma.cs.uiuc.edu

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

CS 700: Quantitative Methods & Experimental Design in Computer Science

CS 700: Quantitative Methods & Experimental Design in Computer Science CS 700: Quantitative Methods & Experimental Design in Computer Science Sanjeev Setia Dept of Computer Science George Mason University Logistics Grade: 35% project, 25% Homework assignments 20% midterm,

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 13: Power & Energy Slides developed by Milo Mar0n & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin slides by

More information

EM Simulations using the PEEC Method - Case Studies in Power Electronics

EM Simulations using the PEEC Method - Case Studies in Power Electronics EM Simulations using the PEEC Method - Case Studies in Power Electronics Andreas Müsing Swiss Federal Institute of Technology (ETH) Zürich Power Electronic Systems www.pes.ee.ethz.ch 1 Outline Motivation:

More information

ARMADILLO: a Multi-Purpose Cryptographic Primitive Dedicated to Hardware

ARMADILLO: a Multi-Purpose Cryptographic Primitive Dedicated to Hardware : a Multi-Purpose Cryptographic Primitive Dedicated to Stéphane Badel 1, Nilay Dağtekin 1, Jorge Nakahara Jr 1, Khaled Ouafi 1, Nicolas Reffé 2, Pouyan Sepehrdad 1, Petr Sušil 1, Serge Vaudenay 1 1 EPFL,

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA Dynamic Power Management under Uncertain Information Hwisung Jung and Massoud Pedram University of Southern California Los Angeles CA Agenda Introduction Background Stochastic Decision-Making Framework

More information

OHW2013 workshop. An open source PCIe device virtualization framework

OHW2013 workshop. An open source PCIe device virtualization framework OHW2013 workshop An open source PCIe device virtualization framework Plan Context and objectives Design and implementation Future directions Questions Context - ESRF and the ISDD electronic laboratory

More information

NoCTweak: a Highly Parameterizable Simulator for Early Exploration of Performance and Energy of Networks On-Chip

NoCTweak: a Highly Parameterizable Simulator for Early Exploration of Performance and Energy of Networks On-Chip Technical Report, VLSI Computation Lab, ECE Department, UC Davis July 212 NoCTweak: a Highly Parameterizable Simulator for Early Exploration of Performance and Energy of Networks On-Chip Anh T. Tran and

More information

Organisasi dan Arsitektur Komputer L#1: Fundamental Concepts Amil A. Ilham

Organisasi dan Arsitektur Komputer   L#1: Fundamental Concepts Amil A. Ilham Organisasi dan Arsitektur Komputer http://www.unhas.ac.id/amil/stmik2016/arsikom/ L#1: Fundamental Concepts Amil A. Ilham http://www.unhas.ac.id/amil Administrasi Kuliah ADMINISTRASI KULIAH 2 Penilaian

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

NPSAT1 Solar Cell Measurement System

NPSAT1 Solar Cell Measurement System NPSAT1 Solar Cell Measurement System Presented by Captain John Salmon, USMC Space Systems Academic Group 777 Dyer Rd., Bldg. 233 Code (SP/Sd), Rm. 125 Monterey, CA 93943 (831) 656-7521 Topics NPSAT1 Overview

More information

VORAGO TECHNOLOGIES. Cost-effective rad-hard MCU Solution for SmallSats Ross Bannatyne, VORAGO Technologies

VORAGO TECHNOLOGIES. Cost-effective rad-hard MCU Solution for SmallSats Ross Bannatyne, VORAGO Technologies VORAGO TECHNOLOGIES Cost-effective rad-hard MCU Solution for SmallSats Ross Bannatyne, VORAGO Technologies V O R A G O Te c h n o l o g i e s Privately held fabless semiconductor company headquartered

More information

INTEGRATED CIRCUITS. PCK2002P 533 MHz PCI-X clock buffer. Product data Supersedes data of 2001 May Dec 13. Philips Semiconductors

INTEGRATED CIRCUITS. PCK2002P 533 MHz PCI-X clock buffer. Product data Supersedes data of 2001 May Dec 13. Philips Semiconductors INTEGRATED CIRCUITS Supersedes data of 2001 May 09 2002 Dec 13 Philips Semiconductors FEATURES General purpose and PCI-X 1:4 clock buffer 8-pin TSSOP package See PCK2001 for 48-pin 1:18 buffer part See

More information

Building a Multi-FPGA Virtualized Restricted Boltzmann Machine Architecture Using Embedded MPI

Building a Multi-FPGA Virtualized Restricted Boltzmann Machine Architecture Using Embedded MPI Building a Multi-FPGA Virtualized Restricted Boltzmann Machine Architecture Using Embedded MPI Charles Lo and Paul Chow {locharl1, pc}@eecg.toronto.edu Department of Electrical and Computer Engineering

More information

Novel Devices and Circuits for Computing

Novel Devices and Circuits for Computing Novel Devices and Circuits for Computing UCSB 594BB Winter 2013 Lecture 4: Resistive switching: Logic Class Outline Material Implication logic Stochastic computing Reconfigurable logic Material Implication

More information

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009

T st Cost Reduction LG Electronics Lee Y, ong LG Electronics 2009 Test Cost Reduction LG Electronics Lee, Yong LG Electronics 2009 Contents Introduction Key factors for test cost reduction in DFT Test vector volume Low cost ATE Test time Reuse a large block Test cost

More information

PC100 Memory Driver Competitive Comparisons

PC100 Memory Driver Competitive Comparisons Fairchild Semiconductor Application Note March 1999 Revised December 2000 PC100 Memory Driver Competitive Comparisons Introduction The latest developments in chipset and motherboard design have taken memory

More information

CMSC 313 Lecture 25 Registers Memory Organization DRAM

CMSC 313 Lecture 25 Registers Memory Organization DRAM CMSC 33 Lecture 25 Registers Memory Organization DRAM UMBC, CMSC33, Richard Chang A-75 Four-Bit Register Appendix A: Digital Logic Makes use of tri-state buffers so that multiple registers

More information

Using Global Clock Networks

Using Global Clock Networks Using Global Clock Networks Introduction Virtex-II devices support very high frequency designs and thus require low-skew advanced clock distribution. With device density up to 0 million system gates, numerous

More information

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs : Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs Shervin Sharifi, Raid Ayoub, Tajana Simunic Rosing Computer Science and Engineering Department University of California, San

More information

Mark Redekopp, All rights reserved. Lecture 1 Slides. Intro Number Systems Logic Functions

Mark Redekopp, All rights reserved. Lecture 1 Slides. Intro Number Systems Logic Functions Lecture Slides Intro Number Systems Logic Functions EE 0 in Context EE 0 EE 20L Logic Design Fundamentals Logic Design, CAD Tools, Lab tools, Project EE 357 EE 457 Computer Architecture Using the logic

More information

EXPLOITING NETWORK PROCESSORS FOR LOW LATENCY, HIGH THROUGHPUT, RATE-BASED SENSOR UPDATE DELIVERY. Kim Christian Swenson

EXPLOITING NETWORK PROCESSORS FOR LOW LATENCY, HIGH THROUGHPUT, RATE-BASED SENSOR UPDATE DELIVERY. Kim Christian Swenson EXPLOITING NETWORK PROCESSORS FOR LOW LATENCY, HIGH THROUGHPUT, RATE-BASED SENSOR UPDATE DELIVERY By Kim Christian Swenson A thesis submitted in partial fulfillment of the requirements for the degree of

More information

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips Minki Cho, William Song, Sudhakar Yalamanchili, and

More information

L15: Custom and ASIC VLSI Integration

L15: Custom and ASIC VLSI Integration L15: Custom and ASIC VLSI Integration Average Cost of one transistor 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001 '68 '70 '72 '74 '76 '78 '80

More information

The conceptual view. by Gerrit Muller University of Southeast Norway-NISE

The conceptual view. by Gerrit Muller University of Southeast Norway-NISE by Gerrit Muller University of Southeast Norway-NISE e-mail: gaudisite@gmail.com www.gaudisite.nl Abstract The purpose of the conceptual view is described. A number of methods or models is given to use

More information

ww.padasalai.net

ww.padasalai.net t w w ADHITHYA TRB- TET COACHING CENTRE KANCHIPURAM SUNDER MATRIC SCHOOL - 9786851468 TEST - 2 COMPUTER SCIENC PG - TRB DATE : 17. 03. 2019 t et t et t t t t UNIT 1 COMPUTER SYSTEM ARCHITECTURE t t t t

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application

MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application 2011 11th Non-Volatile Memory Technology Symposium @ Shanghai, China, Nov. 9, 20112 MTJ-Based Nonvolatile Logic-in-Memory Architecture and Its Application Takahiro Hanyu 1,3, S. Matsunaga 1, D. Suzuki

More information

Improving Power Delivery Networks (PDNs) Using Polyimide-based Thin Laminates

Improving Power Delivery Networks (PDNs) Using Polyimide-based Thin Laminates Improving Power Delivery Networks (PDNs) Using Polyimide-based Thin Laminates 2017. 7. 19. 1 Contents 1. Embedded passives technology 2. Thin laminates: material choices and applications 3. Buried capacitance

More information

Administrative Stuff

Administrative Stuff EE141- Spring 2004 Digital Integrated Circuits Lecture 30 PERSPECTIVES 1 Administrative Stuff Homework 10 posted just for practice. No need to turn in (hw 9 due today). Normal office hours next week. HKN

More information

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy Thermal Interface Materials (TIMs) for IC Cooling Percy Chinoy March 19, 2008 Outline Thermal Impedance Interfacial Contact Resistance Polymer TIM Product Platforms TIM Design TIM Trends Summary 2 PARKER

More information

FPGA Implementation of a Predictive Controller

FPGA Implementation of a Predictive Controller FPGA Implementation of a Predictive Controller SIAM Conference on Optimization 2011, Darmstadt, Germany Minisymposium on embedded optimization Juan L. Jerez, George A. Constantinides and Eric C. Kerrigan

More information

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs A Simulation Methodology for Reliability Analysis in Multi-Core SoCs Ayse K. Coskun, Tajana Simunic Rosing University of California San Diego (UCSD) 95 Gilman Dr. La Jolla CA 92093-0404 {acoskun, tajana}@cs.ucsd.edu

More information

HYCOM and Navy ESPC Future High Performance Computing Needs. Alan J. Wallcraft. COAPS Short Seminar November 6, 2017

HYCOM and Navy ESPC Future High Performance Computing Needs. Alan J. Wallcraft. COAPS Short Seminar November 6, 2017 HYCOM and Navy ESPC Future High Performance Computing Needs Alan J. Wallcraft COAPS Short Seminar November 6, 2017 Forecasting Architectural Trends 3 NAVY OPERATIONAL GLOBAL OCEAN PREDICTION Trend is higher

More information

How to create designs with Dynamic/Adaptive Voltage Scaling. Roy H. Liu National Semiconductor Corporation

How to create designs with Dynamic/Adaptive Voltage Scaling. Roy H. Liu National Semiconductor Corporation How to create designs with Dynamic/Adaptive Voltage Scaling Roy H. Liu National Semiconductor orporation What you will learn from this session Design challenges with variable voltage level Design partitioning

More information

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution . (a) (i) ( B C 5) H (A 2 B D) H S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution ( B C 5) H (A 2 B D) H = (FFFF 698) H (ii) (2.3) 4 + (22.3) 4 2 2. 3 2. 3 2 3. 2 (2.3)

More information

A Scientific Trigger Unit for Space-Based Real-Time Gamma Ray Burst Detection II - Data Processing Model and Benchmarks

A Scientific Trigger Unit for Space-Based Real-Time Gamma Ray Burst Detection II - Data Processing Model and Benchmarks A Scientific Trigger Unit for Space-Based Real-Time Gamma Ray Burst Detection II - Data Processing Model and Benchmarks Hervé Le Provost 1, Stéphane Schanne 2, Christophe Flouzat 1, Pierre Kestener 3,

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival

Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival Sujan Pandey NP Semiconductors Research Eindhoven, The Netherlands pandey@informatik.uni-bremen.de Rolf Drechsler Dept. of

More information

CMOS Ising Computer to Help Optimize Social Infrastructure Systems

CMOS Ising Computer to Help Optimize Social Infrastructure Systems FEATURED ARTICLES Taking on Future Social Issues through Open Innovation Information Science for Greater Industrial Efficiency CMOS Ising Computer to Help Optimize Social Infrastructure Systems As the

More information

Anton: A Specialized ASIC for Molecular Dynamics

Anton: A Specialized ASIC for Molecular Dynamics Anton: A Specialized ASIC for Molecular Dynamics Martin M. Deneroff, David E. Shaw, Ron O. Dror, Jeffrey S. Kuskin, Richard H. Larson, John K. Salmon, and Cliff Young D. E. Shaw Research Marty.Deneroff@DEShawResearch.com

More information

CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When

CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When 1 CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When Inkwon Hwang, Student Member and Massoud Pedram, Fellow, IEEE Abstract

More information

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection Taigon Song 1, Shreepad Panth 2, Yoo-Jin Chae 3, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

14 Gb/s AC Coupled Receiver in 90 nm CMOS. Masum Hossain & Tony Chan Carusone University of Toronto

14 Gb/s AC Coupled Receiver in 90 nm CMOS. Masum Hossain & Tony Chan Carusone University of Toronto 14 Gb/s AC Coupled Receiver in 90 nm CMOS Masum Hossain & Tony Chan Carusone University of Toronto masum@eecg.utoronto.ca OUTLINE Chip-to-Chip link overview AC interconnects Link modelling ISI & sensitivity

More information

CSE370: Introduction to Digital Design

CSE370: Introduction to Digital Design CSE370: Introduction to Digital Design Course staff Gaetano Borriello, Brian DeRenzi, Firat Kiyak Course web www.cs.washington.edu/370/ Make sure to subscribe to class mailing list (cse370@cs) Course text

More information

Construction of a reconfigurable dynamic logic cell

Construction of a reconfigurable dynamic logic cell PRAMANA c Indian Academy of Sciences Vol. 64, No. 3 journal of March 2005 physics pp. 433 441 Construction of a reconfigurable dynamic logic cell K MURALI 1, SUDESHNA SINHA 2 and WILLIAM L DITTO 3 1 Department

More information

System-Level Power, Thermal and Reliability Optimization

System-Level Power, Thermal and Reliability Optimization System-Level Power, Thermal and Reliability Optimization by Changyun Zhu A thesis submitted to the Department of Electrical and Computer Engineering in conformity with the requirements for the degree of

More information

Saving Power in the Data Center. Marios Papaefthymiou

Saving Power in the Data Center. Marios Papaefthymiou Saving Power in the Data Center Marios Papaefthymiou Energy Use in Data Centers Several MW for large facilities Responsible for sizable fraction of US electricity consumption 2006: 1.5% or 61 billion kwh

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Skew-Tolerant Circuit Design

Skew-Tolerant Circuit Design Skew-Tolerant Circuit Design David Harris David_Harris@hmc.edu December, 2000 Harvey Mudd College Claremont, CA Outline Introduction Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant Domino

More information

Janus: FPGA Based System for Scientific Computing Filippo Mantovani

Janus: FPGA Based System for Scientific Computing Filippo Mantovani Janus: FPGA Based System for Scientific Computing Filippo Mantovani Physics Department Università degli Studi di Ferrara Ferrara, 28/09/2009 Overview: 1. The physical problem: - Ising model and Spin Glass

More information

Tecniche di ottimizzazione per lo sviluppo di applicazioni embedded su piattatforme multiprocessore su singolo chip

Tecniche di ottimizzazione per lo sviluppo di applicazioni embedded su piattatforme multiprocessore su singolo chip Tecniche di ottimizzazione per lo sviluppo di applicazioni embedded su piattatforme multiprocessore su singolo chip Luca Benini Lbenini@deis.unibo.it DEIS Università di Bologna Embedded Systems General

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

A Reconfigurable Quantum Computer

A Reconfigurable Quantum Computer A Reconfigurable Quantum Computer David Moehring CEO, IonQ, Inc. College Park, MD Quantum Computing for Business 4-6 December 2017, Mountain View, CA IonQ Highlights Full Stack Quantum Computing Company

More information

Analytical Model for Sensor Placement on Microprocessors

Analytical Model for Sensor Placement on Microprocessors Analytical Model for Sensor Placement on Microprocessors Kyeong-Jae Lee, Kevin Skadron, and Wei Huang Departments of Computer Science, and Electrical and Computer Engineering University of Virginia kl2z@alumni.virginia.edu,

More information

Administrivia. Course Objectives. Overview. Lecture Notes Week markem/cs333/ 2. Staff. 3. Prerequisites. 4. Grading. 1. Theory and application

Administrivia. Course Objectives. Overview. Lecture Notes Week markem/cs333/ 2. Staff. 3. Prerequisites. 4. Grading. 1. Theory and application Administrivia 1. markem/cs333/ 2. Staff 3. Prerequisites 4. Grading Course Objectives 1. Theory and application 2. Benefits 3. Labs TAs Overview 1. What is a computer system? CPU PC ALU System bus Memory

More information

Rethink energy accounting with cooperative game theory. Mian Dong, Tian Lan and Lin Zhong!

Rethink energy accounting with cooperative game theory. Mian Dong, Tian Lan and Lin Zhong! Rethink energy accounting with cooperative game theory Mian Dong, Tian Lan and Lin Zhong! Energy accounting by software How much energy does a software principal consume given a time period? Software evaluation

More information