Temperature-Aware Analysis and Scheduling

Size: px
Start display at page:

Download "Temperature-Aware Analysis and Scheduling"

Transcription

1 Temperature-Aware Analysis and Scheduling Lothar Thiele, Pratyush Kumar

2 Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go Shapers! Embedding into Design Flow 2

3 Power Wall Clock Speed and Power Consumption for Intel x86 Processors [Hennessy, Patterson: HW Interface] 3

4 Thermal Issues of Processing Systems 48-Core Intel SCC platform [Loh: 3D-Stacked Memory Architectures for Multi-Core Processors, 2008] 4

5 Problem Statement Timely behavior is important in many embedded systems: tasks must finish execution within specified deadlines examples: media processing, automotive, avionics Thermal wall: recognized as most significant barrier towards high performance high chip temperatures may lead to long-term reliability concerns and short-term functional errors [Transmeta] Thermal and real-time objectives must be considered simultaneously 5

6 Some Solutions! VLSI design and cooling solutions Thermal-aware design, materials, reduce leakage and switching,... Use better heat sinks, fans, air cooling, liquid cooling! Thermal Management Voltage (and frequency) scaling: Reduce supply voltage and slow down the processor Stop-go execution: Completely turn off components to allow for cooling (clock gating, execution unit throttling) Migration of tasks from hot to cool areas All these techniques can be applied statically or dynamically, and may or may not use temperature sensors (automatic control) Scheduling of jobs and DTM techniques affect both, timeliness and thermal properties. 6

7 Some Interesting Problems! What is the most critical event sequence in terms of maximal chip temperature?! How can we simultaneously guarantee timing and temperature?! What are possible scheduling techniques with low overhead (no temperature sensors, simple control)?! What are suitable temperature-aware mapping schemes for multi-processors? 7

8 Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go Shapers! Embedding into Design Flow 8

9 Single Power Source Model! Frequently used power model for constant voltage silicon chip single power source active processing idle mode temperature-dependent leakage 9

10 Single Power Source Model! Frequently used temperature model silicon chip cooling G I P V T C V 0 T amb power parameters thermal conductance 10 thermal capacity environment temperature

11 Single Power Source Model! Explicit solution:! Explicit solution based on a linear system view: impulse response 11

12 Example active state: dynamic and static (leakage) power temperature increase: based on linear thermal model 12

13 Example idle state: static (leakage) power temperature decrease: based on linear thermal model 13

14 Example peak temperature 14

15 Multi Source Models!!! A computer architecture is not a single power source (gates, arithmetic units, cache, interfaces, ) Packages are complex physical structures Future architectures are multi-core and multi-processors [Intel SCC] [Wei Huang: Hotspot/PhD] [Zanini: Niagara core] 15

16 Multi Source Models! Linear system model: [Atienza 2007] 16

17 Multi Source Models! Explicit solution: impulse response matrix h ij (t) corresponds to impulse response between power source j and temperature location i Construction of impulse response matrix through coordinate transformations. 17

18 Some properties! The self-impulse response h ii (t) is always monotonically decreasing (can be proven through diagonalization of A): temperature rises with power at same location without delay temperature rises with power at some other location after delay 18

19 Where do the parameters come from? application Power / Performance Simulator Temperature Simulator power models of HW components FE modeling of physical structure! Low-level power/ performance simulation/ emulation: Software: [Benini, 2005], [Brooks, 2000] Hardware: [Atienza, 2007]! Temperature simulation: HotSpot: [Huang, 2006] 3DICE: [Sridhar, 2010]! There are other possibilities as well, e.g. model identification and reduction. 19

20 Extensions! Many extension and refinements have been described Voltage and speed scaling Nonlinear models: temperature dependent thermal conductance leads to quadratic differential equation Refined models of temperature and voltage dependent dynamic and static power consumption Other cooling schemes, such as liquid cooling! This presentation: only linear (and quadratic) models. 20

21 Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go Shapers! Embedding into Design Flow 21

22 Typical Scenario dataflow network arbitration services multiprocessor platform 22

23 Modular System Composition CPU BUS DSP server FP TDMA componentbased analysis FP TDMA 23

24 How do we model time, event streams, computation and communication, resource sharing? 24

25 Abstraction Principles! Event-based representations untimed FSM Petri Net timed timed automaton (TA) 25

26 Abstraction Principles! Interval-based representations d 2.5 t [ms] t events α α P J pjd 2.5 Δ [ms] arrival curve 26

27 Time Interval Representations! Real-Time Calculus can be regarded as a worst-case/ best-case variant of classical queuing theory. It is a formal method for the analysis of distributed real-time embedded systems.! Related Work: Min-Plus Algebra: F. Baccelli, G. Cohen, G. J. Olster, and J. P. Quadrat, Synchronization and Linearity --- An Algebra for Discrete Event Systems, Wiley, New York, Network Calculus: J.-Y. Le Boudec and P. Thiran, Network Calculus - A Theory of Deterministic Queuing Systems for the Internet, Lecture Notes in Computer Science, vol. 2050, Springer Verlag, Adversarial Queuing Theory [Andrews, Borodin, Kleinberg, Leighton, 1996] 27

28 Load Model (Environment) Event Stream events number of events in in t=[ ] ms 2.5 t [ms] Arrival Curve α demand α maximum / minimum arriving demand in any interval of length 2.5 ms 2.5 α Δ [ms] 28

29 Service Model (Resources) Resource Availability availability available service in t=[ ] ms 2.5 t [ms] Service Curves [β l, β u ] service β β maximum/minimum available service in any interval of length 2.5 ms 2.5 Δ [ms] 29

30 Processing Model (HW/SW) HW/SW Components Processing semantics and functionality of HW/SW tasks HW/SW Task t Abstract Components β Δ α α RTC 30 Predicate Ψ

31 Greedy Processing Component Behavioral Description Component is triggered by incoming events. GPC A fully preemptable task is instantiated at every event arrival to process the incoming event. Active tasks are processed in a greedy fashion in FIFO order. Processing is restricted by the availability of resources. 31

32 System Composition CPU BUS DSP RM TDMA How to interconnect service? shaper Scheduling! GPC GPC GSC GPC GPC GPC 32

33 System Composition Load Model Service Model Processing Model CPU BUS DSP RM TDMA TDMA GPC GPC GSC GPC GPC GPC 33

34 Greedy Processing Component Behavioral Description Component is triggered by incoming events. GPC A fully preemptable task is instantiated at every event arrival to process the incoming event. Active tasks are processed in a greedy fashion in FIFO order. Processing is restricted by the availability of resources. 34

35 Greedy Processing Component (GPC) R(s, t): arriving workload request in [s, t) C(s, t): resource availability in [s, t) Q(s, t): computation time = processed workload in [s, t) If the input buffer is empty at time s, then C(s,t) Q(s, t) power P(s, t) R(s,t) GPC R (s,t) = Q(s, t) C (s,t) = C(s, t) Q(s, t) 35

36 Abstract Representation! Formalization of abstraction: GPC 36

37 37

38 38

39 39

40 rate function: 40

41 Abstract Representation! Formalization of abstraction: temperature?? power?? GPC! Abstract computing time: 41

42 Given bound on task arrivals α, then all feasible accumulated computing times are bounded by γ active mode idle mode 42

43 System Composition Load Model Service Model Processing Model CPU BUS DSP RM TDMA TDMA GPC GPC GSC GPC GPC GPC 43

44 Delay and Backlog maximum end-to-end delay D accumulated maximum backlog B end-to-end delay D GPC 1 GPC 2 GPC n 44

45 Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go Shapers! Embedding into Design Flow 45

46 Can we determine worst case temperatures in a compositional framework? 46

47 Given a bound on workload arrivals (arrival curves) a computation model (from workload to task executions) a power model (from task executions to power) a temperature model (from power to temperature) What is the worst-case task arrival sequence that leads to maximal peak temperature? 47

48 A Simple Example bound on event arrivals period: 120 ms jitter: 240 ms interarrival: 30 ms workload model execution time: 30ms 48

49 A Simple Example bound on event arrivals period: 120 ms jitter: 240 ms interarrival: 30 ms workload model execution time: 30ms peak temperatures average workload of tasks (25%): 342.5K random trace (500 s): K reasonable heuristic: K worst case: K 49

50 A Simple Example heuristic worst case 50

51 Sequence of Results Given general thermal model (T: temperature, S: execution rate of processing device): Sequence of partial results: Monotonicity Property Shift Property Worst-case Computing Time Tightness Error Bounds 51

52 Shift Property If H(S, T) satisfies a certain condition (lengthy ), then S(t) S(t) S 2 S 2 S 1 S 1 s t s t All major power/temperature model satisfy this condition, e.g. simple active/idle model, linear or quadratic model with continuous speed, multi-source model (for self-heating). 52

53 Worst-case Computing Time 53

54 Worst-case Computing Time reverse time rate function: maximal temperature 54

55 How large should τ be?! For the linear active-idle model: If the observation time τ satisfies steady-state active mode steady-state idle mode precision and the initial temperature is, then 55

56 A Simple Example bound on event arrivals period: 120 ms jitter: 240 ms interarrival: 30 ms workload model execution time: 30ms peak temperatures average workload of tasks (25%): 342.5K random trace (500 s): K reasonable heuristic: K worst case: K 56

57 A Simple Example 57

58 A Video Stream Example 58

59 A Video Stream Example 100 random simulations worst case peak temperature 59

60 not schedulable under EDF schedulable under EDF change task arrival of video 60

61 Multiprocessor Scenario! MPEG-2 decoding: Picture-in-picture application high resolution Output Device input rate low resolution constant read rate 61

62 Multiprocessor Scenario t Δ 62

63 Multiprocessor Scenario! Model Calibration: SimpleScalar Simulation 1.3 GHz 3 GHz 8Mbps 576 macrobl macrobl GHz 1 frame 2 frames frames/s

64 Multiprocessor Scenario

65 Multiprocessor Scenario Time/Space questions: We know how to do that Do buffers overflow? Output Device Do buffers overflow or underflow? 65

66 Multiprocessor Scenario Temperature question Maximal Temperature? Output Device 66

67 Analysis Results t [ms] 67

68 Multiprocessor Scenario 68

69 Generalizations! So far: no heat exchange between processors and/or cores.! Extensions towards heat transfer are necessary. Intel SCC 69

70 Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go Shapers! Embedding into Design Flow 70

71 How to execute a Directed Acyclic Graph (DAG) on a thermally constrained system while minimizing the makespan? 71

72 Scenario 72

73 Scenario 73

74 Strategy Active/Idle Scheduling 74

75 Strategy Mapping problem well-studied. Heuristics are commonly studied and employed. Here we use a variant of HEFT (Heterogeneous- Earliest-Finish-Time) focus now 75

76 Starting Point Classical Monotonicity 76

77 Now Thermal Monotonicity Proof is based on data flow monotonicity monotonicity of temperature shift property Leads to optimal idle time scheduling 77

78 What is the Target Temperature? 78

79 Using Temperature Sensors 79

80 Mapping! Mapping heuristics for DAG scheduling is a mature topic! Try to make use of classical results (HEFT (Heterogeneous-Earliest-Finish-Time)! Given the monotonicity principle of the thermallyconstrained system we retain the DAG property of the thermal-aware system modify parameters of the DAG to represent thermal behavior! Not the subject of this presentation. Just some examples to see that there are non-intuitive results if you combine real-time and thermal constraints. 80

81 Example 1: FFT Characteristics: Two processors, but P1 is twice as fast as P2. The required idling time changes the effective execution time of tasks on processors. task graph slower, but balanced faster, but not balanced 81

82 Example 2: LU Characteristics: Two equal processors, but large communication time Communication can happen while the processor is cooling and thus the effective communication delay can be lower. task graph optimized without temperature 82 optimized with temperature

83 Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go Shapers! Embedding into Design Flow 83

84 Dynamic Thermal Management (DTM) DTM aims at managing chip temperatures using voltage/frequency scaling (DVS) decreasing headroom for voltage scaling, can t reduce leakage power migration of tasks from hot to cool areas needs complex run-time software support, only for multicore systems turning off components (clock gating, execution unit throttling) Problem statement: Design a Dynamic Thermal Management (DTM) policy, that chooses the mode of the processor (active or idle) such that all jobs complete within their deadlines, and the peak temperature of the system is minimized. 84

85 Problem Statement active state: dynamic and static (leakage) power temperature increase: based on linear thermal model 85

86 Problem Statement idle state: static (leakage) power temperature decrease: based on linear thermal model 86

87 Problem Statement peak temperature: to be minimized 87

88 Problem Statement active-idle DTM scheme peak temperature corresponding temperature 88

89 Problem Statement deadline D : to be satisfied active-idle DTM scheme peak temperature corresponding temperature 89

90 Problem Statement How to choose an optimal active-idle schedule? How to dynamically adapt the schedule (non-deterministic task arrival times)? Is the run-time overhead reasonable? 90

91 Cool Shaper Processor DTM-enabled Processor 91

92 Cool Shaper DTM-enabled Processor Processor non-deterministic task streams with bounded variability and relative deadlines deadline D worst case execution time t 92

93 Cool Shaper DTM-enabled Processor Processor 2 non-deterministic task streams with bounded variability and relative deadlines In no time interval of length, task stream demands more than time units computation time. 93

94 Cool Shaper Processor bounds the workload of the processor tasks not delayed more than necessary 94

95 Greedy Traffic Shaper! Access Shaper delays access requests such that the resulting access pattern conforms to a given specification! Greedy Access Shaper no access request gets delayed any longer than necessary σ 95

96 Modeling of Greedy Shapers Greedy Shaper σ t Abstract Greedy Shaper α α σ Δ 96

97 Cool Shaper Processor bounds the workload of the processor tasks not delayed more than necessary In no time interval of length, the processor has to work longer than time units. 97

98 Cool Shaper Processor bounds the workload of the processor tasks not delayed more than necessary In no time interval of length, the processor has to work longer than time units. deadline 98

99 Cool Shaper - Properties Processor no temperature sensor needed minimal temperature under any linear thermal model with decreasing impulse response deadline guarantee and adaptive if workload for a task or task density smaller than upper bound -> faster processing optimality proof and efficient run-time implementation within the class of leaky bucket shapers switching overhead (time and power) can be considered 99

100 Theory task arrival characterization use arrival curve compositional delay analysis Delay-Theorem: deadline guarantee (network calculus [Cruz]) (real-time calculus [Thiele]) maximum delay GPC maximum delay 100

101 Theory task arrival characterization use arrival curve compositional delay analysis Delay-Theorem: deadline guarantee (network calculus [Cruz]) temperature guarantee Monotonicity-Theorem T T (analysis [Rai, DATE 11]) (real-time calculus [Thiele]) shaper optimality Optimality-Theorem: (based on leaky bucket) minimal peak temperature 101

102 Temperature Guarantee and Leaky Bucket! From the w.c. temperature analysis: :! Simple shaper implementation: Leaky bucket shaper Cascading 102

103 Optimality : 103

104 Theory task arrival characterization use arrival curve compositional delay analysis Delay-Theorem: deadline guarantee (network calculus [Cruz]) temperature guarantee Monotonicity-Theorem T T (analysis [Rai, DATE 11]) (real-time calculus [Thiele]) shaper optimality Optimality-Theorem: (based on leaky bucket) minimal peak temperature consider overhead multiple tasks 104 (demand bound func. [Baruah])

105 Experimental Results (I)! Thermal parameters taken from [Skadron, ISCA 03].! Video decode application with video, audio and network sub-tasks.! Effect of shaping: T max : 346K 338K Execution time of video application can be increased by 40% with T max 346K 105

106 Experimental Results (II)! Choice of optimal switching granularity: explore trade-off between switching overhead and loss in optimality maximal active interval 106

107 Experimental Results (II)! Choice of optimal switching granularity: explore trade-off between switching overhead and loss in optimality maximal active interval! Random task set: with shaping, a higher utilization can be supported at the cost of (almost) linearly increasing peak temperature without cool shaper with cool shaper average utilization 107

108 Related Work! Mainly considering DVS, most results are limited in the task-model (statically given trace, periodic tasks).! This is limiting because job arrivals can show variability such as a periodic task with jitter can exhibit bursts at unknown points.! Examples: Bansal et al [FOCS 04]: set speed of a processor under given thermal constraints Skadron et al [HPCA 02], Wang et al [ RTSS 06] : set speed of a processor using feedback control based on temperature sensors Chen et al [RTAS 09]: set the speed of a processor to tasks for a given set of periodically repeating tasks Cho et al [ICS 07]: system-level techniques such as core-hopping to reduce temperature 108

109 Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go Shapers! Embedding into Design Flow 109

110 Integration of Thermal Analysis! Distributed Operation Layer (DOL)! Goal: Automatically generate an optimized mapping of a parallel application onto MPSoC high-level analysis calibration 110

111 Calibration Tool Chain Sample Mappings Software Synthesis Low-Level Power/ Timing Simulator Thermal Architecture Analysis Execution trace Timing characterization Power characterization Thermal platform model: conductivity matrix capacitance matrix Timing Parameters Thermal Parameters 111

112 High-level Thermal Analysis/Simulation Thermal Platform Model (conductance/capacitance matrix) 112

113 Acknowledgement! Co-workers: Jian-Jia Chen, Iuliana Bacivarov, Devendra Rai, Lars Schor, Pratyush Kumar, Hoeseok Yang! Funding: EU-ARTISTDESIGN, EU-EURETILE, EU-PRO3D,! Further Information:

Component-based Analysis of Worst-case Temperatures

Component-based Analysis of Worst-case Temperatures Component-based Analysis of Worst-case Temperatures Lothar Thiele, Iuliana Bacivarov, Jian-Jia Chen, Devendra Rai, Hoeseok Yang 1 How can we analyze timing properties in a compositional framework? 2 Modular

More information

Real-Time Calculus. LS 12, TU Dortmund

Real-Time Calculus. LS 12, TU Dortmund Real-Time Calculus Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 09, Dec., 2014 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 35 Arbitrary Deadlines The worst-case response time of τ i by only considering

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

Cool Shapers: Shaping Real-Time Tasks for Improved Thermal Guarantees

Cool Shapers: Shaping Real-Time Tasks for Improved Thermal Guarantees Cool Shapers: Shaping Real-Time Tasks for Improved Thermal Guarantees Pratyush Kumar and Lothar Thiele Computer Engineering and Networks Laboratory, ETH Zürich {pratyush.kumar, lothar.thiele}@tik.ee.ethz.ch

More information

Modeling Fixed Priority Non-Preemptive Scheduling with Real-Time Calculus

Modeling Fixed Priority Non-Preemptive Scheduling with Real-Time Calculus Modeling Fixed Priority Non-Preemptive Scheduling with Real-Time Calculus Devesh B. Chokshi and Purandar Bhaduri Department of Computer Science and Engineering Indian Institute of Technology Guwahati,

More information

Embedded Systems 23 BF - ES

Embedded Systems 23 BF - ES Embedded Systems 23-1 - Measurement vs. Analysis REVIEW Probability Best Case Execution Time Unsafe: Execution Time Measurement Worst Case Execution Time Upper bound Execution Time typically huge variations

More information

On the Design and Application of Thermal Isolation Servers

On the Design and Application of Thermal Isolation Servers On the Design and Application of Thermal Isolation Servers Rehan Ahmed, Pengcheng Huang, Max Millen, Lothar Thiele EMSOFT 2017 October 16, 2017 1/25 2/25 The Temperature Problem 1 1 Extremetech: https://tinyurl.com/y8gmopks

More information

Andrew Morton University of Waterloo Canada

Andrew Morton University of Waterloo Canada EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo Canada Outline 1) Introduction and motivation 2) Review of EDF and feasibility analysis 3) Hardware accelerators and scheduling

More information

Real-Time Scheduling and Resource Management

Real-Time Scheduling and Resource Management ARTIST2 Summer School 2008 in Europe Autrans (near Grenoble), France September 8-12, 2008 Real-Time Scheduling and Resource Management Lecturer: Giorgio Buttazzo Full Professor Scuola Superiore Sant Anna

More information

Cyclic Dependencies in Modular Performance Analysis

Cyclic Dependencies in Modular Performance Analysis Cyclic Dependencies in Modular Performance Analysis ABSTRACT Bengt Jonsson Dept. Information Technology Uppsala University Sweden bengt@it.uu.se Lothar Thiele Computer Engineering and Networks Lab. ETH

More information

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University Che-Wei Chang chewei@mail.cgu.edu.tw Department of Computer Science and Information Engineering, Chang Gung University } 2017/11/15 Midterm } 2017/11/22 Final Project Announcement 2 1. Introduction 2.

More information

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Jian-Jia Chen Computer Engineering and Networks Laboratory (TIK) ETH Zurich, Switzerland jchen@tik.ee.ethz.ch Shengquan Wang Department

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

Lecture 2: Metrics to Evaluate Systems

Lecture 2: Metrics to Evaluate Systems Lecture 2: Metrics to Evaluate Systems Topics: Metrics: power, reliability, cost, benchmark suites, performance equation, summarizing performance with AM, GM, HM Sign up for the class mailing list! Video

More information

CPU SCHEDULING RONG ZHENG

CPU SCHEDULING RONG ZHENG CPU SCHEDULING RONG ZHENG OVERVIEW Why scheduling? Non-preemptive vs Preemptive policies FCFS, SJF, Round robin, multilevel queues with feedback, guaranteed scheduling 2 SHORT-TERM, MID-TERM, LONG- TERM

More information

Lecture 13. Real-Time Scheduling. Daniel Kästner AbsInt GmbH 2013

Lecture 13. Real-Time Scheduling. Daniel Kästner AbsInt GmbH 2013 Lecture 3 Real-Time Scheduling Daniel Kästner AbsInt GmbH 203 Model-based Software Development 2 SCADE Suite Application Model in SCADE (data flow + SSM) System Model (tasks, interrupts, buses, ) SymTA/S

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 13: Power & Energy Slides developed by Milo Mar0n & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin slides by

More information

Multiprocessor Scheduling I: Partitioned Scheduling. LS 12, TU Dortmund

Multiprocessor Scheduling I: Partitioned Scheduling. LS 12, TU Dortmund Multiprocessor Scheduling I: Partitioned Scheduling Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 22/23, June, 2015 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 47 Outline Introduction to Multiprocessor

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Multiprocessor Scheduling II: Global Scheduling. LS 12, TU Dortmund

Multiprocessor Scheduling II: Global Scheduling. LS 12, TU Dortmund Multiprocessor Scheduling II: Global Scheduling Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 28, June, 2016 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 42 Global Scheduling We will only focus on identical

More information

CSE 380 Computer Operating Systems

CSE 380 Computer Operating Systems CSE 380 Computer Operating Systems Instructor: Insup Lee & Dianna Xu University of Pennsylvania, Fall 2003 Lecture Note 3: CPU Scheduling 1 CPU SCHEDULING q How can OS schedule the allocation of CPU cycles

More information

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling

More information

Background Concrete Greedy Shapers Min-Plus Algebra Abstract Greedy Shapers Acknowledgment. Greedy Shaper

Background Concrete Greedy Shapers Min-Plus Algebra Abstract Greedy Shapers Acknowledgment. Greedy Shaper Greedy Shaper Modular Performance Analysis and Interface-Based Design for Embedded Real-Time Systems: Section 3.1 od Reading Group on Real-Time Calculus Outline 1 Background The Curves Drawback 2 Concrete

More information

TDDI04, K. Arvidsson, IDA, Linköpings universitet CPU Scheduling. Overview: CPU Scheduling. [SGG7] Chapter 5. Basic Concepts.

TDDI04, K. Arvidsson, IDA, Linköpings universitet CPU Scheduling. Overview: CPU Scheduling. [SGG7] Chapter 5. Basic Concepts. TDDI4 Concurrent Programming, Operating Systems, and Real-time Operating Systems CPU Scheduling Overview: CPU Scheduling CPU bursts and I/O bursts Scheduling Criteria Scheduling Algorithms Multiprocessor

More information

TDDB68 Concurrent programming and operating systems. Lecture: CPU Scheduling II

TDDB68 Concurrent programming and operating systems. Lecture: CPU Scheduling II TDDB68 Concurrent programming and operating systems Lecture: CPU Scheduling II Mikael Asplund, Senior Lecturer Real-time Systems Laboratory Department of Computer and Information Science Copyright Notice:

More information

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators Sandeep D souza and Ragunathan (Raj) Rajkumar Carnegie Mellon University High (Energy) Cost of Accelerators Modern-day

More information

Multi-core Real-Time Scheduling for Generalized Parallel Task Models

Multi-core Real-Time Scheduling for Generalized Parallel Task Models Washington University in St. Louis Washington University Open Scholarship All Computer Science and Engineering Research Computer Science and Engineering Report Number: WUCSE-011-45 011 Multi-core Real-Time

More information

Real-Time and Embedded Systems (M) Lecture 5

Real-Time and Embedded Systems (M) Lecture 5 Priority-driven Scheduling of Periodic Tasks (1) Real-Time and Embedded Systems (M) Lecture 5 Lecture Outline Assumptions Fixed-priority algorithms Rate monotonic Deadline monotonic Dynamic-priority algorithms

More information

Schedulability analysis in hard real-time systems under thermal constraints

Schedulability analysis in hard real-time systems under thermal constraints Real-Time Syst (2010) 46: 160 188 DOI 10.1007/s11241-010-9104-7 Schedulability analysis in hard real-time systems under thermal constraints Shengquan Wang Youngwoo Ahn Riccardo Bettati Published online:

More information

Cyclic Dependencies in Modular Performance Analysis

Cyclic Dependencies in Modular Performance Analysis Cyclic Dependencies in Modular Performance Analysis ABSTRACT Bengt Jonsson Dept. Information Technology Uppsala University Box 337, 751 05 Uppsala bengt@it.uu.se Lothar Thiele Computer Engineering and

More information

Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources

Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources Jan Reineke @ saarland university ACACES Summer School 2017 Fiuggi, Italy computer science Fixed-Priority

More information

EDF Feasibility and Hardware Accelerators

EDF Feasibility and Hardware Accelerators EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo, Waterloo, Canada, arrmorton@uwaterloo.ca Wayne M. Loucks University of Waterloo, Waterloo, Canada, wmloucks@pads.uwaterloo.ca

More information

The Concurrent Consideration of Uncertainty in WCETs and Processor Speeds in Mixed Criticality Systems

The Concurrent Consideration of Uncertainty in WCETs and Processor Speeds in Mixed Criticality Systems The Concurrent Consideration of Uncertainty in WCETs and Processor Speeds in Mixed Criticality Systems Zhishan Guo and Sanjoy Baruah Department of Computer Science University of North Carolina at Chapel

More information

Performance Metrics for Computer Systems. CASS 2018 Lavanya Ramapantulu

Performance Metrics for Computer Systems. CASS 2018 Lavanya Ramapantulu Performance Metrics for Computer Systems CASS 2018 Lavanya Ramapantulu Eight Great Ideas in Computer Architecture Design for Moore s Law Use abstraction to simplify design Make the common case fast Performance

More information

Embedded Systems Development

Embedded Systems Development Embedded Systems Development Lecture 3 Real-Time Scheduling Dr. Daniel Kästner AbsInt Angewandte Informatik GmbH kaestner@absint.com Model-based Software Development Generator Lustre programs Esterel programs

More information

System Model. Real-Time systems. Giuseppe Lipari. Scuola Superiore Sant Anna Pisa -Italy

System Model. Real-Time systems. Giuseppe Lipari. Scuola Superiore Sant Anna Pisa -Italy Real-Time systems System Model Giuseppe Lipari Scuola Superiore Sant Anna Pisa -Italy Corso di Sistemi in tempo reale Laurea Specialistica in Ingegneria dell Informazione Università di Pisa p. 1/?? Task

More information

Thermal-Aware Global Real-Time Scheduling on Multicore Systems

Thermal-Aware Global Real-Time Scheduling on Multicore Systems Thermal-Aware Global Real-Time Scheduling on Multicore Systems Nathan Fisher a, Jian-Jia Chen b, Shengquan Wang c, Lothar Thiele b a Department of Computer Science, Wayne State University, USA b Computer

More information

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING Abhishek Mishra and Anil Kumar Tripathi Department of

More information

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Zhe Wang, Sanjay Ranka and Prabhat Mishra Dept. of Computer and Information Science and Engineering University of Florida,

More information

Quantifying the Effect of Rare Timing Events with Settling-Time and Overshoot

Quantifying the Effect of Rare Timing Events with Settling-Time and Overshoot Quantifying the Effect of Rare Timing Events with Settling-Time and Overshoot Pratyush Kumar and Lothar Thiele Computer Engineering and Networks Laboratory ETH Zurich, Switzerland E-mail: {pratyush.kumar,

More information

Predictability of Least Laxity First Scheduling Algorithm on Multiprocessor Real-Time Systems

Predictability of Least Laxity First Scheduling Algorithm on Multiprocessor Real-Time Systems Predictability of Least Laxity First Scheduling Algorithm on Multiprocessor Real-Time Systems Sangchul Han and Minkyu Park School of Computer Science and Engineering, Seoul National University, Seoul,

More information

Revisiting GPC and AND Connector in Real-Time Calculus

Revisiting GPC and AND Connector in Real-Time Calculus Revisiting GPC and AND Connector in Real-Time Calculus Yue Tang 1, Nan Guan 1, Weichen Liu 2, Linh Thi Xuan Phan 3, Wang Yi 4 1 The Hong Kong Polytechnic University, Hong Kong 2 Chongqing University, China

More information

Aperiodic Task Scheduling

Aperiodic Task Scheduling Aperiodic Task Scheduling Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2017 年 11 月 29 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Presenter: Tong Shu Authors: Tong Shu and Prof. Chase Q. Wu Big Data Center Department of Computer Science New Jersey Institute

More information

Networked Embedded Systems WS 2016/17

Networked Embedded Systems WS 2016/17 Networked Embedded Systems WS 2016/17 Lecture 2: Real-time Scheduling Marco Zimmerling Goal of Today s Lecture Introduction to scheduling of compute tasks on a single processor Tasks need to finish before

More information

Multi-core Real-Time Scheduling for Generalized Parallel Task Models

Multi-core Real-Time Scheduling for Generalized Parallel Task Models Noname manuscript No. (will be inserted by the editor) Multi-core Real-Time Scheduling for Generalized Parallel Task Models Abusayeed Saifullah Jing Li Kunal Agrawal Chenyang Lu Christopher Gill Received:

More information

A compositional approach to embedded system performance analysis

A compositional approach to embedded system performance analysis A compositional approach to embedded system performance analysis R. Ernst TU Braunschweig R. Ernst, TU Braunschweig 1 Overview heterogeneous embedded architectures formal performance analysis holistic

More information

CS 700: Quantitative Methods & Experimental Design in Computer Science

CS 700: Quantitative Methods & Experimental Design in Computer Science CS 700: Quantitative Methods & Experimental Design in Computer Science Sanjeev Setia Dept of Computer Science George Mason University Logistics Grade: 35% project, 25% Homework assignments 20% midterm,

More information

Task Models and Scheduling

Task Models and Scheduling Task Models and Scheduling Jan Reineke Saarland University June 27 th, 2013 With thanks to Jian-Jia Chen at KIT! Jan Reineke Task Models and Scheduling June 27 th, 2013 1 / 36 Task Models and Scheduling

More information

Online Work Maximization under a Peak Temperature Constraint

Online Work Maximization under a Peak Temperature Constraint Online Work Maximization under a Peak Temperature Constraint Thidapat Chantem Department of CSE University of Notre Dame Notre Dame, IN 46556 tchantem@nd.edu X. Sharon Hu Department of CSE University of

More information

Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors

Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors Jian-Jia Chen, Chuan-Yue Yang, and Tei-Wei Kuo Department of Computer Science and Information Engineering Graduate

More information

Scheduling Periodic Real-Time Tasks on Uniprocessor Systems. LS 12, TU Dortmund

Scheduling Periodic Real-Time Tasks on Uniprocessor Systems. LS 12, TU Dortmund Scheduling Periodic Real-Time Tasks on Uniprocessor Systems Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 08, Dec., 2015 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 38 Periodic Control System Pseudo-code

More information

Embedded Systems 14. Overview of embedded systems design

Embedded Systems 14. Overview of embedded systems design Embedded Systems 14-1 - Overview of embedded systems design - 2-1 Point of departure: Scheduling general IT systems In general IT systems, not much is known about the computational processes a priori The

More information

A New Task Model and Utilization Bound for Uniform Multiprocessors

A New Task Model and Utilization Bound for Uniform Multiprocessors A New Task Model and Utilization Bound for Uniform Multiprocessors Shelby Funk Department of Computer Science, The University of Georgia Email: shelby@cs.uga.edu Abstract This paper introduces a new model

More information

EDF Scheduling. Giuseppe Lipari CRIStAL - Université de Lille 1. October 4, 2015

EDF Scheduling. Giuseppe Lipari  CRIStAL - Université de Lille 1. October 4, 2015 EDF Scheduling Giuseppe Lipari http://www.lifl.fr/~lipari CRIStAL - Université de Lille 1 October 4, 2015 G. Lipari (CRIStAL) Earliest Deadline Scheduling October 4, 2015 1 / 61 Earliest Deadline First

More information

Real-Time Systems. LS 12, TU Dortmund

Real-Time Systems. LS 12, TU Dortmund Real-Time Systems Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund April 24, 2014 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 57 Organization Instructor: Jian-Jia Chen, jian-jia.chen@cs.uni-dortmund.de

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

EDF Scheduling. Giuseppe Lipari May 11, Scuola Superiore Sant Anna Pisa

EDF Scheduling. Giuseppe Lipari   May 11, Scuola Superiore Sant Anna Pisa EDF Scheduling Giuseppe Lipari http://feanor.sssup.it/~lipari Scuola Superiore Sant Anna Pisa May 11, 2008 Outline 1 Dynamic priority 2 Basic analysis 3 FP vs EDF 4 Processor demand bound analysis Generalization

More information

TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS

TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS Chapter 1 TOWARD THE PLACEMENT OF POWER MANAGEMENT POINTS IN REAL-TIME APPLICATIONS Nevine AbouGhazaleh, Daniel Mossé, Bruce Childers, Rami Melhem Department of Computer Science University of Pittsburgh

More information

An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency Islands

An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency Islands Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 5-2016 An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency

More information

Thermal Scheduling SImulator for Chip Multiprocessors

Thermal Scheduling SImulator for Chip Multiprocessors TSIC: Thermal Scheduling SImulator for Chip Multiprocessors Kyriakos Stavrou Pedro Trancoso CASPER group Department of Computer Science University Of Cyprus The CASPER group: Computer Architecture System

More information

An Optimal Real-Time Scheduling Algorithm for Multiprocessors

An Optimal Real-Time Scheduling Algorithm for Multiprocessors An Optimal Real-Time Scheduling Algorithm for Multiprocessors Hyeonjoong Cho, Binoy Ravindran, and E. Douglas Jensen ECE Dept., Virginia Tech Blacksburg, VA 24061, USA {hjcho,binoy}@vt.edu The MITRE Corporation

More information

Static priority scheduling

Static priority scheduling Static priority scheduling Michal Sojka Czech Technical University in Prague, Faculty of Electrical Engineering, Department of Control Engineering November 8, 2017 Some slides are derived from lectures

More information

Fundamental Algorithms for System Modeling, Analysis, and Optimization

Fundamental Algorithms for System Modeling, Analysis, and Optimization Fundamental Algorithms for System Modeling, Analysis, and Optimization Edward A. Lee, Jaijeet Roychowdhury, Sanjit A. Seshia UC Berkeley EECS 144/244 Fall 2010 Copyright 2010, E. A. Lee, J. Roydhowdhury,

More information

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Francesco Zanini, David Atienza, Ayse K. Coskun, Giovanni De Micheli Integrated Systems Laboratory (LSI), EPFL,

More information

Adaptive Dynamic Power Management for Hard Real-Time Systems

Adaptive Dynamic Power Management for Hard Real-Time Systems Adaptive Dynamic Power Management for Hard Real-Time Systems Kai Huang, Luca Santinelli, Jian-Jia Chen, Lothar Thiele, Giorgio C. Buttazzo Computer Engineering and Networks Laboratory, ETH Zurich, Switzerland

More information

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Francesco Zanini, David Atienza, Ayse K. Coskun, Giovanni De Micheli Integrated Systems Laboratory (LSI), EPFL,

More information

Temperature Issues in Modern Computer Architectures

Temperature Issues in Modern Computer Architectures 12 Temperature Issues in Modern omputer Architectures Basis: Pagani et al., DATE 2015, ODES+ISSS 2014 Babak Falsafi: Dark Silicon & Its Implications on Server hip Design, Microsoft Research, Nov. 2010

More information

How to deal with uncertainties and dynamicity?

How to deal with uncertainties and dynamicity? How to deal with uncertainties and dynamicity? http://graal.ens-lyon.fr/ lmarchal/scheduling/ 19 novembre 2012 1/ 37 Outline 1 Sensitivity and Robustness 2 Analyzing the sensitivity : the case of Backfilling

More information

Embedded Systems 15. REVIEW: Aperiodic scheduling. C i J i 0 a i s i f i d i

Embedded Systems 15. REVIEW: Aperiodic scheduling. C i J i 0 a i s i f i d i Embedded Systems 15-1 - REVIEW: Aperiodic scheduling C i J i 0 a i s i f i d i Given: A set of non-periodic tasks {J 1,, J n } with arrival times a i, deadlines d i, computation times C i precedence constraints

More information

Online Scheduling Switch for Maintaining Data Freshness in Flexible Real-Time Systems

Online Scheduling Switch for Maintaining Data Freshness in Flexible Real-Time Systems Online Scheduling Switch for Maintaining Data Freshness in Flexible Real-Time Systems Song Han 1 Deji Chen 2 Ming Xiong 3 Aloysius K. Mok 1 1 The University of Texas at Austin 2 Emerson Process Management

More information

Lecture 6. Real-Time Systems. Dynamic Priority Scheduling

Lecture 6. Real-Time Systems. Dynamic Priority Scheduling Real-Time Systems Lecture 6 Dynamic Priority Scheduling Online scheduling with dynamic priorities: Earliest Deadline First scheduling CPU utilization bound Optimality and comparison with RM: Schedulability

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

Scheduling. Uwe R. Zimmer & Alistair Rendell The Australian National University

Scheduling. Uwe R. Zimmer & Alistair Rendell The Australian National University 6 Scheduling Uwe R. Zimmer & Alistair Rendell The Australian National University References for this chapter [Bacon98] J. Bacon Concurrent Systems 1998 (2nd Edition) Addison Wesley Longman Ltd, ISBN 0-201-17767-6

More information

There are three priority driven approaches that we will look at

There are three priority driven approaches that we will look at Priority Driven Approaches There are three priority driven approaches that we will look at Earliest-Deadline-First (EDF) Least-Slack-Time-first (LST) Latest-Release-Time-first (LRT) 1 EDF Earliest deadline

More information

Schedulability of Periodic and Sporadic Task Sets on Uniprocessor Systems

Schedulability of Periodic and Sporadic Task Sets on Uniprocessor Systems Schedulability of Periodic and Sporadic Task Sets on Uniprocessor Systems Jan Reineke Saarland University July 4, 2013 With thanks to Jian-Jia Chen! Jan Reineke July 4, 2013 1 / 58 Task Models and Scheduling

More information

A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption

A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption A Dynamic Real-time Scheduling Algorithm for Reduced Energy Consumption Rohini Krishnapura, Steve Goddard, Ala Qadi Computer Science & Engineering University of Nebraska Lincoln Lincoln, NE 68588-0115

More information

Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival

Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival Sujan Pandey NP Semiconductors Research Eindhoven, The Netherlands pandey@informatik.uni-bremen.de Rolf Drechsler Dept. of

More information

Module 5: CPU Scheduling

Module 5: CPU Scheduling Module 5: CPU Scheduling Basic Concepts Scheduling Criteria Scheduling Algorithms Multiple-Processor Scheduling Real-Time Scheduling Algorithm Evaluation 5.1 Basic Concepts Maximum CPU utilization obtained

More information

Real-Time Systems. Event-Driven Scheduling

Real-Time Systems. Event-Driven Scheduling Real-Time Systems Event-Driven Scheduling Marcus Völp, Hermann Härtig WS 2013/14 Outline mostly following Jane Liu, Real-Time Systems Principles Scheduling EDF and LST as dynamic scheduling methods Fixed

More information

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance, Power & Energy ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Recall: Goal of this class Performance Reconfiguration Power/ Energy H. So, Sp10 Lecture 3 - ELEC8106/6102 2 PERFORMANCE EVALUATION

More information

A Demand Response Calculus with Perfect Batteries

A Demand Response Calculus with Perfect Batteries A Demand Response Calculus with Perfect Batteries Dan-Cristian Tomozei Joint work with Jean-Yves Le Boudec CCW, Sedona AZ, 07/11/2012 Demand Response by Quantity = distribution network operator may interrupt

More information

Non-Work-Conserving Non-Preemptive Scheduling: Motivations, Challenges, and Potential Solutions

Non-Work-Conserving Non-Preemptive Scheduling: Motivations, Challenges, and Potential Solutions Non-Work-Conserving Non-Preemptive Scheduling: Motivations, Challenges, and Potential Solutions Mitra Nasri Chair of Real-time Systems, Technische Universität Kaiserslautern, Germany nasri@eit.uni-kl.de

More information

arxiv: v1 [cs.os] 6 Jun 2013

arxiv: v1 [cs.os] 6 Jun 2013 Partitioned scheduling of multimode multiprocessor real-time systems with temporal isolation Joël Goossens Pascal Richard arxiv:1306.1316v1 [cs.os] 6 Jun 2013 Abstract We consider the partitioned scheduling

More information

A Generalized Processor Sharing Approach to Flow Control in Integrated Services Networks: The Single Node Case. 1

A Generalized Processor Sharing Approach to Flow Control in Integrated Services Networks: The Single Node Case. 1 A Generalized Processor Sharing Approach to Flow Control in Integrated Services Networks: The Single Node Case 1 Abhay K Parekh 2 3 and Robert G Gallager 4 Laboratory for Information and Decision Systems

More information

Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks

Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks Probabilistic Preemption Control using Frequency Scaling for Sporadic Real-time Tasks Abhilash Thekkilakattil, Radu Dobrin and Sasikumar Punnekkat Mälardalen Real-Time Research Center, Mälardalen University,

More information

Efficient TDM-based Arbitration for Mixed-Criticality Systems on Multi-Cores

Efficient TDM-based Arbitration for Mixed-Criticality Systems on Multi-Cores Efficient TDM-based Arbitration for Mixed-Criticality Systems on Multi-Cores Florian Brandner with Farouk Hebbache, 2 Mathieu Jan, 2 Laurent Pautet LTCI, Télécom ParisTech, Université Paris-Saclay 2 CEA

More information

RUN-TIME EFFICIENT FEASIBILITY ANALYSIS OF UNI-PROCESSOR SYSTEMS WITH STATIC PRIORITIES

RUN-TIME EFFICIENT FEASIBILITY ANALYSIS OF UNI-PROCESSOR SYSTEMS WITH STATIC PRIORITIES RUN-TIME EFFICIENT FEASIBILITY ANALYSIS OF UNI-PROCESSOR SYSTEMS WITH STATIC PRIORITIES Department for Embedded Systems/Real-Time Systems, University of Ulm {name.surname}@informatik.uni-ulm.de Abstract:

More information

Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms

Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms University of Pennsylvania ScholarlyCommons Departmental Papers (CIS) Department of Computer & Information Science -25 Cache-Aware Compositional Analysis of Real- Time Multicore Virtualization Platforms

More information

Energy Reduction Techniques for Systemswith Non-DVS Components

Energy Reduction Techniques for Systemswith Non-DVS Components Energy Reduction Techniques for Systemswith Non-DVS Components Chuan-Yue Yang, Jian-Jia Chen, Tei-Wei Kuo, Lothar Thiele Department of Computer Science and Information Engineering National Taiwan University,

More information

Non-preemptive Fixed Priority Scheduling of Hard Real-Time Periodic Tasks

Non-preemptive Fixed Priority Scheduling of Hard Real-Time Periodic Tasks Non-preemptive Fixed Priority Scheduling of Hard Real-Time Periodic Tasks Moonju Park Ubiquitous Computing Lab., IBM Korea, Seoul, Korea mjupark@kr.ibm.com Abstract. This paper addresses the problem of

More information

Energy-Optimal Dynamic Thermal Management for Green Computing

Energy-Optimal Dynamic Thermal Management for Green Computing Energy-Optimal Dynamic Thermal Management for Green Computing Donghwa Shin, Jihun Kim and Naehyuck Chang Seoul National University, Korea {dhshin, jhkim, naehyuck} @elpl.snu.ac.kr Jinhang Choi, Sung Woo

More information

Tardiness Bounds under Global EDF Scheduling on a. Multiprocessor

Tardiness Bounds under Global EDF Scheduling on a. Multiprocessor Tardiness Bounds under Global EDF Scheduling on a Multiprocessor UmaMaheswari C. Devi and James H. Anderson Department of Computer Science The University of North Carolina at Chapel Hill Abstract We consider

More information

Real-Time Systems. Event-Driven Scheduling

Real-Time Systems. Event-Driven Scheduling Real-Time Systems Event-Driven Scheduling Hermann Härtig WS 2018/19 Outline mostly following Jane Liu, Real-Time Systems Principles Scheduling EDF and LST as dynamic scheduling methods Fixed Priority schedulers

More information

Load Regulating Algorithm for Static-Priority Task Scheduling on Multiprocessors

Load Regulating Algorithm for Static-Priority Task Scheduling on Multiprocessors Technical Report No. 2009-7 Load Regulating Algorithm for Static-Priority Task Scheduling on Multiprocessors RISAT MAHMUD PATHAN JAN JONSSON Department of Computer Science and Engineering CHALMERS UNIVERSITY

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

AS computer hardware technology advances, both

AS computer hardware technology advances, both 1 Best-Harmonically-Fit Periodic Task Assignment Algorithm on Multiple Periodic Resources Chunhui Guo, Student Member, IEEE, Xiayu Hua, Student Member, IEEE, Hao Wu, Student Member, IEEE, Douglas Lautner,

More information

Exam Spring Embedded Systems. Prof. L. Thiele

Exam Spring Embedded Systems. Prof. L. Thiele Exam Spring 20 Embedded Systems Prof. L. Thiele NOTE: The given solution is only a proposal. For correctness, completeness, or understandability no responsibility is taken. Sommer 20 Eingebettete Systeme

More information

Advances in processor, memory, and communication technologies

Advances in processor, memory, and communication technologies Discrete and continuous min-energy schedules for variable voltage processors Minming Li, Andrew C. Yao, and Frances F. Yao Department of Computer Sciences and Technology and Center for Advanced Study,

More information

Clock-driven scheduling

Clock-driven scheduling Clock-driven scheduling Also known as static or off-line scheduling Michal Sojka Czech Technical University in Prague, Faculty of Electrical Engineering, Department of Control Engineering November 8, 2017

More information