Thermal Scheduling SImulator for Chip Multiprocessors

Size: px
Start display at page:

Download "Thermal Scheduling SImulator for Chip Multiprocessors"

Transcription

1 TSIC: Thermal Scheduling SImulator for Chip Multiprocessors Kyriakos Stavrou Pedro Trancoso CASPER group Department of Computer Science University Of Cyprus The CASPER group: Computer Architecture System Performance Evaluation Research

2 Outline Temperature problems and TAS TSIC & TAS Related work Thermal Model Thermal Aware Scheduling TSIC: Thermal Scheduling Simulator for CMPs Preliminary Results Work in progress

3 Temperature induced problems Modern microprocessors suffer from very high temperatures which result to: Increased power/energy consumption More power for cooling Increased leakage power Significant performance loss More often throttling events (frequency/voltage decrease) Lower operating frequencies Increased failure rate Failure mechanisms are exponentially dependent on temperature Increased cost More expensive packaging More expensive cooling solutions

4 Chip Multiprocessors Chip multiprocessors is the current trend in microprocessor design and manufacture Consist out of either identical or different cores Reduce complexity Offer better performance on multiprogramming environments Offer better performance on parallelizable programs Currently available CMPs Pentium D Athlon 64X2 Cell Power 5...

5 The goals Thermal Aware Scheduling (TAS) comes as a solution to all these problems and is applicable on Chip Multiprocessors By improving the thermal characteristics it manages to: Decrease the cost Increase the reliability Increase the performance Decrease the power and energy consumption TAS is a clearly software solution and can be implemented without any hardware modification Development of a proper simulator

6 Outline Temperature problems and TAS TSIC & TAS Related work Thermal Model Thermal Aware Scheduling TSIC: Thermal Scheduling Simulator for CMPs Preliminary Results Work in progress

7 TAS & TSIC TAS manages its benefits by adding temperature awareness to the scheduler of the operating system No simulator is known to exist to evaluate the potential of TAS and quantify its benefits. The main contribution of this work is the development of such a simulator. Our simulator is codenamed: TSIC: Thermal Scheduling SImulator for Chip Multiprocessors

8 TSIC TSIC models the heat flow within the chip to estimate the temperature of each core TSIC is fully parametric Parametric thermal model Simulates CMPs with arbitrary number of cores Simulates workloads of different thermal stress Simulates different core s utilization TSIC handles processes taking into account important real-life events such as: I/O forced context switches Quantum expansion context switches Application variability in the thermal stress caused

9 Outline Temperature problems and TAS TSIC & TAS Related work Thermal Model Thermal Aware Scheduling TSIC: Thermal Scheduling Simulator for CMPs Preliminary Results Work in progress

10 Related Work The most popular microarchitectural temperature simulator is Hotspot from University Of Virginia (LAVA group Prof. Kevin Skadron) Hotspot is publicly available and is widely used by the academic community It has been shown to be sufficiently accurate Models multiple-blocks of the microprocessor Uses an equivalent RC circuit to model the thermal flow within the processor Works with Wattch, a widely used power simulator. Hotspot models only uniprocessors

11 Outline Temperature problems and TAS TSIC & TAS Related work Thermal Model Thermal Aware Scheduling TSIC: Thermal Scheduling Simulator for CMPs Preliminary Results Work in progress

12 Thermal Model The parts of the Chip Multiprocessor that are important for the thermal model

13 Thermal Model The heat spreader For illustration purposes we show it under the chip

14 Thermal Model The multiprocessor

15 Thermal Model The processor cores

16 Thermal Model The temperature of the ambient (the air surrounding the chip) air

17 Thermal Model Local power consumption Τhe main factor that increases the temperature of core

18 Thermal Model Cores can dissipate heat to the ambient through two different directions

19 Thermal Model Vertical heat dissipation to the ambient

20 Thermal Model Lateral heat dissipation to the ambient

21 Thermal Model Internal cores are NOT able to dissipate heat to the ambient laterally

22 Thermal Model Inter-cores heat exchange

23 Thermal Model The temperature equation: T -T T = T + f position,t + f + f local,t ( ) t t t+1 t ( t ) i j t i i 1 i 2 3 i j i di,j ( )

24 Thermal Model The temperature equation: The new temperature of the core (interval t+1) T t+ 1 i T -T = T + f position,t + f + f local,t ( ) t t t ( t) i j i 1 i 2 3 i j i di,j ( t)

25 Thermal Model The temperature equation: The new temperature of the core (interval t+1) The previous temperature of the core (interval t) T -T T = + f position,t + f + f local,t ( j) t t t+1 t ( t ) i j t i Ti 1 i 2 3 j i di, ( ) i

26 Thermal Model The temperature equation: The new temperature of the core (interval t+1) The previous temperature of the core (interval t) T -T T = T + + f + f local,t ( i,j) t t t+ 1 t ( t ) i j t i i f1 position,ti 2 3 i j i d Heat transfer to the ambient, depends on the position of the core and its current temperature ( )

27 Thermal Model The temperature equation: The new temperature of the core (interval t+1) The previous temperature of the core (interval t) t t T ( ) i -Tj T i = T i + f1 position,t i + f2 + f ( ) 3 local j i d i, j (,T ) i t+1 t t t Heat transfer to the ambient, depends on the position of the core and its current temperature Inter-core heat exchange

28 Thermal Model The temperature equation: The new temperature of the core (interval t+1) The previous temperature of the core (interval t) t t T ( ) i -T t+1 t t j T i = T i + f1 position,t i + f 2 + f ( ) 3 loca j i d i, j ( t l,t ) i Heat transfer to the ambient, depends on the position of the core and its current temperature Inter-core heat exchange Heat due to local power consumption

29 Thermal Model The temperature equation: The new temperature of the core (interval t+1) The previous temperature of the core (interval t) T -T T = T + f position,t + f + f local,t ( ) t t t+1 t ( t ) i j t i i 1 i 2 3 i j i di,j ( ) Heat transfer to the ambient, depends on the position of the core and its current temperature Inter-core heat exchange Heat due to local power consumption New temperature is calculated after each thermal interval (Thermal Cycle) More details can be found in the paper

30 Outline Temperature problems and TAS TSIC & TAS Related work Thermal Model Thermal Aware Scheduling TSIC: Thermal Scheduling Simulator for CMPs Preliminary Results Work in progress

31 Thermal Aware Scheduling Future CMPs will embed a large number of cores

32 Thermal Aware Scheduling Future CMPs will embed a large number of cores When a new process is to be executed the Operating System must decide on which core it will be assigned (one of the idle cores will be selected)

33 Thermal Aware Scheduling Future CMPs will embed a large number of cores When a new process is to be executed the Operating System must decide on which core it will be assigned (one of the idle cores will be selected) Thermal Aware Scheduling (TAS) helps define the thermally most appropriate core

34 Thermal Aware Scheduling Future CMPs will embed a large number of cores When a new process is to be executed the Operating System must decide on which core it will be assigned (one of the idle cores will be selected) Thermal Aware Scheduling (TAS) helps define the thermally most appropriate core Different cores on the chip have different cooling abilities

35 Thermal Aware Scheduling Future CMPs will embed a large number of cores When a new process is to be executed the Operating System must decide on which core it will be assigned (one of the idle cores will be selected) Thermal Aware Scheduling (TAS) helps define the thermally most appropriate core Different cores on the chip have different cooling abilities Enhanced Cooling abilities: Good lateral heat dissipation Only two adjacent neighbors

36 Thermal Aware Scheduling Future CMPs will embed a large number of cores When a new process is to be executed the Operating System must decide on which core it will be assigned (one of the idle cores will be selected) Thermal Aware Scheduling (TAS) helps define the thermally most appropriate core Different cores on the chip have different cooling abilities Decreased Cooling abilities: Decreased lateral heat dissipation Four adjacent neighbors of unknown temperature

37 Thermal Aware Scheduling Future CMPs will embed a large number of cores When a new process is to be executed the Operating System must decide on which core it will be assigned (one of the idle cores will be selected) Thermal Aware Scheduling (TAS) helps define the thermally most appropriate core Different cores on the chip have different cooling abilities Different processes have different power consumption characteristics and so cause different thermal stress

38 Thermal Aware Scheduling An example: Execute processes C (cold) and H (Hot) on the CMP shown below The cold process comes first

39 Thermal Aware Scheduling An example: Execute processes C (cold) and H (Hot) on the CMP shown below 2 The best available core is core 1 1

40 Thermal Aware Scheduling An example: Execute processes C (cold) and H (Hot) on the CMP shown below 2 The cold process is assigned to core 1 1

41 Thermal Aware Scheduling An example: Execute processes C (cold) and H (Hot) on the CMP shown below 2 The hot process comes next and is assigned to core 2 1

42 Thermal Aware Scheduling An example: Execute processes C (cold) and H (Hot) on the CMP shown below 2 1 This is inefficient assignment: Although core 2 has reduced cooling abilities it executes a hot process so its temperature is likely to increase soon Core 1 is underutilized as it has enhanced cooling abilities but executes a low demanding workload

43 Thermal unawareness Thermal unawareness can lead to highly unwanted scenarios such as: Hotspot creation High performance loss Non homogeneous temperature among the chip and time Lets see an example...

44 Thermal unawareness High performance loss Execution of a hot process a Although core a is the coolest available core it does not have efficient cooling. Executing the new process on core a will lead to often throttling events and so, significant performance loss. TAS would select core b due to its high cooling efficiency b

45 Outline Temperature problems and TAS TSIC & TAS Related work Thermal Model Thermal Aware Scheduling TSIC: Thermal Scheduling Simulator for CMPs Preliminary Results Work in progress

46 TSIC General C# programming language (Object Oriented) Microsoft Visual Studio.NET 2003 User friendly visual interface Runs on Microsoft Windows operating system The code Detailed code documentation Approximately lines of code Simulation Speed More than 5000 cycles /second for a 36 cores chip Schedulers Very easy to implement a new scheduler

47 TSIC - Full Model To increase accuracy TSIC interleaves a number of thermal cycles between each pair of process cycles Thermal Cycle: Updates the temperature of cores according to the equation presented before: T -T T = T + f position,t + f + f local,t ( ) t t t+1 t ( t ) i j t i i 1 i 2 3 i j i di,j ( ) Process Cycle: Generates and manipulates the workload and assigns processes to cores.

48 TSIC Process Model Active processes exist? Yes Generate processes with random Are Remove there expired any processes running that and have free on a Are characteristics End there of process any idle and cycle cores? assign it to context the Chip execution switch Multiprocessor? cores. (expired processes)? execution cores No Expired processes exist? No Yes Remove expired processes Idle cores exist? No Yes Randomly generate new processes End of process cycle

49 TSIC Thermal Model Thermal model updates the temperature of cores taking into account: Inter-cores heat exchange Local power consumption Vertical heat transfer rate to the ambient Lateral heat transfer rate to the ambient On every thermal cycle it executes an iteration of the equation: T -T T = T + f position,t + f + f local,t ( ) t t t+1 t ( t ) i j t i i 1 i 2 3 i j i di,j ( )

50 TSIC - Operation The main window of the simulator

51 TSIC - Operation Run both models simultaneously

52 TSIC - Parameters Set initial temperatures menu

53 TSIC - Parameters Settings menu

54 TSIC Results Menu

55 TSIC Results Menu

56 TSIC Results Menu

57 TSIC Results Menu

58 Available Schedulers Random scheduler Randomly selects one of the available cores Guarantees equal cores usage Always Coolest Always selects the coolest available core Very easy to implement Neighborhood aware Accounts the temperature of neighboring cores Benefits cores residing at the edge of the chip

59 Outline Temperature problems and TAS TSIC & TAS Related work Thermal Model Thermal Aware Scheduling TSIC: Thermal Scheduling Simulator for CMPs Preliminary Results Work in progress

60 Results The results presented next are just preliminary results and they aim to validate the simulator. More detailed results to proof the efficiency of Thermal Aware Scheduling are in progress. Experimental Setup Different number of cores [36 & 64] Different chip utilizations [50% & 80%]

61 Results Chip-wide Average Temperature 50 Temperature Cores Utilization 50% 36 Cores Utilization 80% 64 Cores Utilization 50% 64 Cores Utilization 80% Random Always coolest Neighborhood aware

62 Outline Temperature problems and TAS TSIC & TAS Related work Thermal Model Thermal Aware Scheduling TSIC: Thermal Scheduling Simulator for CMPs Preliminary Results Work in progress

63 Work in progress Future work for TSIC will focus on the development of more sophisticated schedulers Logging Scheduler Keeps statistics about previous executions of a process to predict its future behavior Allows better scheduling decisions to be made Window Scheduler Takes a window of processes into account while scheduling Allows better scheduling decisions to be made Booster Scheduler Allows frequency increase of a specific core Minimizes the throttling events of a specific core Enhances the performance of a single process Plug-in real application SPEC 95 and SPEC 2000 benchmarks

64 Conclusions TSIC: Thermal Scheduling Simulator for Chip Multiprocessors enables studying: Thermal Aware Scheduling on Chip Multiprocessors. Main characteristics of TSIC: Modular Very fast Fully parametric Multi-simulation modes Visual presentation of all results

65 Questions Thank you! Questions? The CASPER group:

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling Tam Chantem Electrical & Computer Engineering High performance Energy efficient Multicore Systems High complexity

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Zhe Wang, Sanjay Ranka and Prabhat Mishra Dept. of Computer and Information Science and Engineering University of Florida,

More information

Online Work Maximization under a Peak Temperature Constraint

Online Work Maximization under a Peak Temperature Constraint Online Work Maximization under a Peak Temperature Constraint Thidapat Chantem Department of CSE University of Notre Dame Notre Dame, IN 46556 tchantem@nd.edu X. Sharon Hu Department of CSE University of

More information

Temperature Aware Floorplanning

Temperature Aware Floorplanning Temperature Aware Floorplanning Yongkui Han, Israel Koren and Csaba Andras Moritz Depment of Electrical and Computer Engineering University of Massachusetts,Amherst, MA 13 E-mail: yhan,koren,andras @ecs.umass.edu

More information

Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems

Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems Algorithms for Temperature-Aware Task Scheduling in Microprocessor Systems Marek Chrobak 1, Christoph Dürr 2, Mathilde Hurand 2, and Julien Robert 3 1 Department of Computer Science, University of California,

More information

Analytical Model for Sensor Placement on Microprocessors

Analytical Model for Sensor Placement on Microprocessors Analytical Model for Sensor Placement on Microprocessors Kyeong-Jae Lee, Kevin Skadron, and Wei Huang Departments of Computer Science, and Electrical and Computer Engineering University of Virginia kl2z@alumni.virginia.edu,

More information

Real-Time and Embedded Systems (M) Lecture 5

Real-Time and Embedded Systems (M) Lecture 5 Priority-driven Scheduling of Periodic Tasks (1) Real-Time and Embedded Systems (M) Lecture 5 Lecture Outline Assumptions Fixed-priority algorithms Rate monotonic Deadline monotonic Dynamic-priority algorithms

More information

A Novel Software Solution for Localized Thermal Problems

A Novel Software Solution for Localized Thermal Problems A Novel Software Solution for Localized Thermal Problems Sung Woo Chung 1,* and Kevin Skadron 2 1 Division of Computer and Communication Engineering, Korea University, Seoul 136-713, Korea swchung@korea.ac.kr

More information

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach Hwisung Jung, Massoud Pedram Outline Introduction Background Thermal Management Framework Accuracy of Modeling Policy Representation

More information

Energy-aware scheduling for GreenIT in large-scale distributed systems

Energy-aware scheduling for GreenIT in large-scale distributed systems Energy-aware scheduling for GreenIT in large-scale distributed systems 1 PASCAL BOUVRY UNIVERSITY OF LUXEMBOURG GreenIT CORE/FNR project Context and Motivation Outline 2 Problem Description Proposed Solution

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

USING ON-CHIP EVENT COUNTERS FOR HIGH-RESOLUTION, REAL-TIME TEMPERATURE MEASUREMENT 1

USING ON-CHIP EVENT COUNTERS FOR HIGH-RESOLUTION, REAL-TIME TEMPERATURE MEASUREMENT 1 USING ON-CHIP EVENT COUNTERS FOR HIGH-RESOLUTION, REAL-TIME TEMPERATURE MEASUREMENT 1 Sung Woo Chung and Kevin Skadron Division of Computer Science and Engineering, Korea University, Seoul 136-713, Korea

More information

Thermal Resistance Measurement

Thermal Resistance Measurement Optotherm, Inc. 2591 Wexford-Bayne Rd Suite 304 Sewickley, PA 15143 USA phone +1 (724) 940-7600 fax +1 (724) 940-7611 www.optotherm.com Optotherm Sentris/Micro Application Note Thermal Resistance Measurement

More information

A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors

A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors Abstract - This paper presents a task migration algorithm for dynamic thermal management of SMT multi-core

More information

Chapter 6: CPU Scheduling

Chapter 6: CPU Scheduling Chapter 6: CPU Scheduling Basic Concepts Scheduling Criteria Scheduling Algorithms Multiple-Processor Scheduling Real-Time Scheduling Algorithm Evaluation 6.1 Basic Concepts Maximum CPU utilization obtained

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

Practical Dynamic Thermal Management on Intel Desktop Computer

Practical Dynamic Thermal Management on Intel Desktop Computer Florida International University FIU Digital Commons FIU Electronic Theses and Dissertations University Graduate School 7-12-2012 Practical Dynamic Thermal Management on Intel Desktop Computer Guanglei

More information

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling

More information

Module 5: CPU Scheduling

Module 5: CPU Scheduling Module 5: CPU Scheduling Basic Concepts Scheduling Criteria Scheduling Algorithms Multiple-Processor Scheduling Real-Time Scheduling Algorithm Evaluation 5.1 Basic Concepts Maximum CPU utilization obtained

More information

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability 1 Analysis of Temporal and Spatial Temperature Gradients for IC Reliability UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-24-8 MARCH 24 Zhijian Lu, Wei Huang, Shougata Ghosh, John Lach, Mircea

More information

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA Dynamic Power Management under Uncertain Information Hwisung Jung and Massoud Pedram University of Southern California Los Angeles CA Agenda Introduction Background Stochastic Decision-Making Framework

More information

Evaluating Linear Regression for Temperature Modeling at the Core Level

Evaluating Linear Regression for Temperature Modeling at the Core Level Evaluating Linear Regression for Temperature Modeling at the Core Level Dan Upton and Kim Hazelwood University of Virginia ABSTRACT Temperature issues have become a first-order concern for modern computing

More information

CSE 380 Computer Operating Systems

CSE 380 Computer Operating Systems CSE 380 Computer Operating Systems Instructor: Insup Lee & Dianna Xu University of Pennsylvania, Fall 2003 Lecture Note 3: CPU Scheduling 1 CPU SCHEDULING q How can OS schedule the allocation of CPU cycles

More information

Leakage Minimization Using Self Sensing and Thermal Management

Leakage Minimization Using Self Sensing and Thermal Management Leakage Minimization Using Self Sensing and Thermal Management Alireza Vahdatpour Computer Science Department University of California, Los Angeles alireza@cs.ucla.edu Miodrag Potkonjak Computer Science

More information

Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters

Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters Hongyang Sun a,, Patricia Stolf b, Jean-Marc Pierson b a Ecole Normale Superieure de Lyon & INRIA, France

More information

TDDI04, K. Arvidsson, IDA, Linköpings universitet CPU Scheduling. Overview: CPU Scheduling. [SGG7] Chapter 5. Basic Concepts.

TDDI04, K. Arvidsson, IDA, Linköpings universitet CPU Scheduling. Overview: CPU Scheduling. [SGG7] Chapter 5. Basic Concepts. TDDI4 Concurrent Programming, Operating Systems, and Real-time Operating Systems CPU Scheduling Overview: CPU Scheduling CPU bursts and I/O bursts Scheduling Criteria Scheduling Algorithms Multiprocessor

More information

EDF Feasibility and Hardware Accelerators

EDF Feasibility and Hardware Accelerators EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo, Waterloo, Canada, arrmorton@uwaterloo.ca Wayne M. Loucks University of Waterloo, Waterloo, Canada, wmloucks@pads.uwaterloo.ca

More information

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage Lei He, Weiping Liao and Mircea R. Stan EE Department, University of California, Los Angeles 90095 ECE Department,

More information

Performance-Aware Thermal Management via Task Scheduling

Performance-Aware Thermal Management via Task Scheduling Performance-Aware Thermal Management via Task Scheduling XIUYI ZHOU and JUN YANG University of Pittsburgh MAREK CHROBAK University of California, Riverside and YOUTAO ZHANG University of Pittsburgh High

More information

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

Lecture 2: Metrics to Evaluate Systems

Lecture 2: Metrics to Evaluate Systems Lecture 2: Metrics to Evaluate Systems Topics: Metrics: power, reliability, cost, benchmark suites, performance equation, summarizing performance with AM, GM, HM Sign up for the class mailing list! Video

More information

Quantitative Safety Analysis of Non-Deterministic System Architectures

Quantitative Safety Analysis of Non-Deterministic System Architectures Quantitative Safety Analysis of Non-Deterministic System Architectures Adrian Beer University of Konstanz Department of Computer and Information Science Chair for Software Engineering Adrian.Beer@uni.kn

More information

Real-Time Systems. Lecture #14. Risat Pathan. Department of Computer Science and Engineering Chalmers University of Technology

Real-Time Systems. Lecture #14. Risat Pathan. Department of Computer Science and Engineering Chalmers University of Technology Real-Time Systems Lecture #14 Risat Pathan Department of Computer Science and Engineering Chalmers University of Technology Real-Time Systems Specification Implementation Multiprocessor scheduling -- Partitioned

More information

Temperature-Aware Analysis and Scheduling

Temperature-Aware Analysis and Scheduling Temperature-Aware Analysis and Scheduling Lothar Thiele, Pratyush Kumar Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Shahin Golshan 1, Eli Bozorgzadeh 1, Benamin C Schafer 2, Kazutoshi Wakabayashi 2, Houman Homayoun 1 and Alex Veidenbaum

More information

Granularity of Microprocessor Thermal Management: A Technical Report

Granularity of Microprocessor Thermal Management: A Technical Report Granularity of Microprocessor Thermal Management: A Technical Report Karthik Sankaranarayanan, Wei Huang, Mircea R. Stan, Hossein Haj-Hariri, Robert J. Ribando and Kevin Skadron Department of Computer

More information

Energy-Optimal Dynamic Thermal Management for Green Computing

Energy-Optimal Dynamic Thermal Management for Green Computing Energy-Optimal Dynamic Thermal Management for Green Computing Donghwa Shin, Jihun Kim and Naehyuck Chang Seoul National University, Korea {dhshin, jhkim, naehyuck} @elpl.snu.ac.kr Jinhang Choi, Sung Woo

More information

Thermal-Aware Global Real-Time Scheduling on Multicore Systems

Thermal-Aware Global Real-Time Scheduling on Multicore Systems Thermal-Aware Global Real-Time Scheduling on Multicore Systems Nathan Fisher a, Jian-Jia Chen b, Shengquan Wang c, Lothar Thiele b a Department of Computer Science, Wayne State University, USA b Computer

More information

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy Thermal Interface Materials (TIMs) for IC Cooling Percy Chinoy March 19, 2008 Outline Thermal Impedance Interfacial Contact Resistance Polymer TIM Product Platforms TIM Design TIM Trends Summary 2 PARKER

More information

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University Che-Wei Chang chewei@mail.cgu.edu.tw Department of Computer Science and Information Engineering, Chang Gung University } 2017/11/15 Midterm } 2017/11/22 Final Project Announcement 2 1. Introduction 2.

More information

Categories and Subject Descriptors C.4 [Perfomance of Systems]: Reliability, availability, and serviceability

Categories and Subject Descriptors C.4 [Perfomance of Systems]: Reliability, availability, and serviceability Distributed Task Migration for Thermal Management in Many-core Systems Yang Ge, Parth Malani, Qinru Qiu Department of Electrical and Computer Engineering Binghamton University, State University of ew York

More information

CPU scheduling. CPU Scheduling

CPU scheduling. CPU Scheduling EECS 3221 Operating System Fundamentals No.4 CPU scheduling Prof. Hui Jiang Dept of Electrical Engineering and Computer Science, York University CPU Scheduling CPU scheduling is the basis of multiprogramming

More information

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs A Simulation Methodology for Reliability Analysis in Multi-Core SoCs Ayse K. Coskun, Tajana Simunic Rosing University of California San Diego (UCSD) 95 Gilman Dr. La Jolla CA 92093-0404 {acoskun, tajana}@cs.ucsd.edu

More information

Multiprocessor Scheduling I: Partitioned Scheduling. LS 12, TU Dortmund

Multiprocessor Scheduling I: Partitioned Scheduling. LS 12, TU Dortmund Multiprocessor Scheduling I: Partitioned Scheduling Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 22/23, June, 2015 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 47 Outline Introduction to Multiprocessor

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 13: Power & Energy Slides developed by Milo Mar0n & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin slides by

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Paper Presentation. Amo Guangmo Tong. University of Taxes at Dallas February 11, 2014

Paper Presentation. Amo Guangmo Tong. University of Taxes at Dallas February 11, 2014 Paper Presentation Amo Guangmo Tong University of Taxes at Dallas gxt140030@utdallas.edu February 11, 2014 Amo Guangmo Tong (UTD) February 11, 2014 1 / 26 Overview 1 Techniques for Multiprocessor Global

More information

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs : Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs Shervin Sharifi, Raid Ayoub, Tajana Simunic Rosing Computer Science and Engineering Department University of California, San

More information

JETC: Joint Energy Thermal and Cooling Management for Memory and CPU Subsystems in Servers

JETC: Joint Energy Thermal and Cooling Management for Memory and CPU Subsystems in Servers JET: Joint Energy Thermal and ooling Management for Memory and PU Subsystems in Servers Raid Ayoub Rajib Nath Tajana Rosing University of alifornia, San Diego La Jolla, A 92093-0404 Abstract In this work

More information

Aperiodic Task Scheduling

Aperiodic Task Scheduling Aperiodic Task Scheduling Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2017 年 11 月 29 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. September 2001 S7C256 5V/3.3V 32K X 8 CMOS SRM (Common I/O) Features S7C256

More information

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators Sandeep D souza and Ragunathan (Raj) Rajkumar Carnegie Mellon University High (Energy) Cost of Accelerators Modern-day

More information

Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint

Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint 2011 IEEE/ACM International Conference on Green Computing and Communications Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint Guanglei Liu 1, Gang Quan 1, Meikang

More information

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0 NEC PerforCache Influence on M-Series Disk Array Behavior and Performance. Version 1.0 Preface This document describes L2 (Level 2) Cache Technology which is a feature of NEC M-Series Disk Array implemented

More information

CHIP POWER consumption is expected to increase with

CHIP POWER consumption is expected to increase with IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 10, OCTOBER 2009 1503 Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs Ayşe Kıvılcım

More information

Coupled Power and Thermal Simulation with Active Cooling

Coupled Power and Thermal Simulation with Active Cooling Coupled Power and Thermal Simulation with Active Cooling Weiping Liao and Lei He Electrical Engineering Department University of California, Los Angeles, CA 90095 {wliao,lhe}@ee.ucla.edu Abstract. Power

More information

Scheduling for Reduced CPU Energy

Scheduling for Reduced CPU Energy Scheduling for Reduced CPU Energy M. Weiser, B. Welch, A. Demers and S. Shenker Appears in "Proceedings of the First Symposium on Operating Systems Design and Implementation," Usenix Association, November

More information

Real-Time Scheduling and Resource Management

Real-Time Scheduling and Resource Management ARTIST2 Summer School 2008 in Europe Autrans (near Grenoble), France September 8-12, 2008 Real-Time Scheduling and Resource Management Lecturer: Giorgio Buttazzo Full Professor Scuola Superiore Sant Anna

More information

Using FLOTHERM and the Command Center to Exploit the Principle of Superposition

Using FLOTHERM and the Command Center to Exploit the Principle of Superposition Using FLOTHERM and the Command Center to Exploit the Principle of Superposition Paul Gauché Flomerics Inc. 257 Turnpike Road, Suite 100 Southborough, MA 01772 Phone: (508) 357-2012 Fax: (508) 357-2013

More information

Submitted to IEEE Trans. on Components, Packaging, and Manufacturing Technology

Submitted to IEEE Trans. on Components, Packaging, and Manufacturing Technology Temperature Sensor Distribution, Measurement Uncertainty, and Data Interpretation for Microprocessor Hotspots Josef Miler 1, Keivan Etessam-Yazdani 2, Mehdi Asheghi 1, Maxat Touzelbaev 3, and Kenneth E.

More information

Application note AN0088. Number. 05-Aug-04. Date. Helmut Artmeier. Author. Thermal Design Guidelines. Subject ETX-PM.

Application note AN0088. Number. 05-Aug-04. Date. Helmut Artmeier. Author. Thermal Design Guidelines. Subject ETX-PM. Number AN0088 Date 05-Aug-04 Author Helmut Artmeier Subject Thermal Design Guidelines Related Products ETX-PM Date Edited by Alteration to previous document revision 05.08.04 AHE Initial release document

More information

Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs

Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs Thidapat Chantem Department of CSE University of Notre Dame Notre Dame, IN 46556 tchantem@nd.edu Robert P. Dick Department

More information

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics Table S1 Comparison of cooling performance of various thermoelectric (TE) materials and device architectures

More information

Coupled Power and Thermal Simulation with Active Cooling

Coupled Power and Thermal Simulation with Active Cooling Coupled Power and Thermal Simulation with Active Cooling Weiping Liao and Lei He Electrical Engineering Department, University of California, Los Angeles, CA 90095 {wliao, lhe}@ee.ucla.edu Abstract. Power

More information

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the Thermal Measurements & Characterizations of Real Processors Honors Thesis Submitted by Shiqing, Poh In partial fulfillment of the Sc.B. In Electrical Engineering Brown University Prepared under the Direction

More information

Lentis Pai/Associate Director/Wiwynn

Lentis Pai/Associate Director/Wiwynn Two Phase Rack Level Liquid Cooling Solution Lentis Pai/Associate Director/Wiwynn A g e n d a Existing Chassis Level Solution Wiwynn Two Phase Immersion Cooling Rack Level Liquid Cooling Solution Overview

More information

Branch Prediction based attacks using Hardware performance Counters IIT Kharagpur

Branch Prediction based attacks using Hardware performance Counters IIT Kharagpur Branch Prediction based attacks using Hardware performance Counters IIT Kharagpur March 19, 2018 Modular Exponentiation Public key Cryptography March 19, 2018 Branch Prediction Attacks 2 / 54 Modular Exponentiation

More information

Mermaid. The most sophisticated marine operations planning software available.

Mermaid. The most sophisticated marine operations planning software available. Mermaid The most sophisticated marine operations planning software available. Mojo Maritime / Marine economic risk management aid Mermaid : marine economic risk management aid The most sophisticated marine

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Evaluation and Validation

Evaluation and Validation Evaluation and Validation Jian-Jia Chen (Slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2016 年 01 月 05 日 These slides use Microsoft clip arts. Microsoft copyright

More information

5.0 V 256 K 16 CMOS SRAM

5.0 V 256 K 16 CMOS SRAM February 2006 5.0 V 256 K 16 CMOS SRAM Features Pin compatible with AS7C4098 Industrial and commercial temperature Organization: 262,144 words 16 bits Center power and ground pins High speed - 10/12/15/20

More information

Compact Thermal Modeling for Temperature-Aware Design

Compact Thermal Modeling for Temperature-Aware Design Compact Thermal Modeling for Temperature-Aware Design Wei Huang, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan Shougata Ghosh, Sivakumar Velusamy Departments of Electrical and Computer Engineering,

More information

Dynamic simulation of DH house stations

Dynamic simulation of DH house stations Article Dynamic simulation of DH house stations Jan Eric Thorsen, Director, DHS Application Centre, Danfoss A/S www.danfoss.com Jan Eric Thorsen, Director, DHS Application Centre, Danfoss A/S Presented

More information

Lecture 20: Thermal design

Lecture 20: Thermal design EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 20: Thermal design Guest Lecturer: Prof. Mircea Stan ECE Dept., University of Virginia Thermal Design Why should you care about thermals?

More information

UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS OCTOBER 2006

UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS OCTOBER 2006 Interconnect Lifetime Prediction with Temporal and Spatial Temperature Gradients for Reliability-Aware Design and Runtime Management: Modeling and Applications UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE

More information

Introduction to Side Channel Analysis. Elisabeth Oswald University of Bristol

Introduction to Side Channel Analysis. Elisabeth Oswald University of Bristol Introduction to Side Channel Analysis Elisabeth Oswald University of Bristol Outline Part 1: SCA overview & leakage Part 2: SCA attacks & exploiting leakage and very briefly Part 3: Countermeasures Part

More information

Accurate Temperature Estimation for Efficient Thermal Management

Accurate Temperature Estimation for Efficient Thermal Management 9th International Symposium on Quality Electronic Design Accurate emperature Estimation for Efficient hermal Management Shervin Sharifi, ChunChen Liu, ajana Simunic Rosing Computer Science and Engineering

More information

Thermal Analysis. inspiration

Thermal Analysis. inspiration white paper Thermal Analysis inspiration summary In this white paper we define and then outline the concept of thermal analysis as it relates to product design. We discuss the principles of conduction,

More information

Schedulability of Periodic and Sporadic Task Sets on Uniprocessor Systems

Schedulability of Periodic and Sporadic Task Sets on Uniprocessor Systems Schedulability of Periodic and Sporadic Task Sets on Uniprocessor Systems Jan Reineke Saarland University July 4, 2013 With thanks to Jian-Jia Chen! Jan Reineke July 4, 2013 1 / 58 Task Models and Scheduling

More information

LED Retrofit. Fast-track proposal and feedback. W. Schlager Karlsruhe, 23-July-2015

LED Retrofit. Fast-track proposal and feedback. W. Schlager Karlsruhe, 23-July-2015 LED Retrofit Fast-track proposal and feedback W. Schlager Karlsruhe, 23-July-2015 Introduction = Motivation ECE R37-compliant light source ECE compliant device True LED retrofit Pseudo non-approved LED

More information

Energy-aware checkpointing of divisible tasks with soft or hard deadlines

Energy-aware checkpointing of divisible tasks with soft or hard deadlines Energy-aware checkpointing of divisible tasks with soft or hard deadlines Guillaume Aupy 1, Anne Benoit 1,2, Rami Melhem 3, Paul Renaud-Goud 1 and Yves Robert 1,2,4 1. Ecole Normale Supérieure de Lyon,

More information

Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm

Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm Yves MOUAFO Supervisors A. CHOQUET-GENIET, G. LARGETEAU-SKAPIN OUTLINES 2 1. Context and Problematic 2. State of the art

More information

TEMPORAL WORKLOAD ANALYSIS AND ITS APPLICATION TO POWER-AWARE SCHEDULING

TEMPORAL WORKLOAD ANALYSIS AND ITS APPLICATION TO POWER-AWARE SCHEDULING TEMPORAL WORKLOAD ANALYSIS AND ITS APPLICATION TO POWER-AWARE SCHEDULING Ye-In Seol 1, Jeong-Uk Kim 1 and Young-Kuk Kim 2, 1 Green Energy Institute, Sangmyung University, Seoul, South Korea 2 Dept. of

More information

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations

Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Statistical Analysis of BTI in the Presence of Processinduced Voltage and Temperature Variations Farshad Firouzi, Saman Kiamehr, Mehdi. B. Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE

More information

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1

The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 Ročník 2012 Číslo VI The Increasing Importance of the Thermal Management for Modern Electronic Packages B. Psota 1, I. Szendiuch 1 1 Department of Microelectronics, Faculty of Electrical Engineering and

More information

An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency Islands

An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency Islands Utah State University DigitalCommons@USU All Graduate Theses and Dissertations Graduate Studies 5-2016 An Energy-Efficient Semi-Partitioned Approach for Hard Real-Time Systems with Voltage and Frequency

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

Priority-driven Scheduling of Periodic Tasks (1) Advanced Operating Systems (M) Lecture 4

Priority-driven Scheduling of Periodic Tasks (1) Advanced Operating Systems (M) Lecture 4 Priority-driven Scheduling of Periodic Tasks (1) Advanced Operating Systems (M) Lecture 4 Priority-driven Scheduling Assign priorities to jobs, based on their deadline or other timing constraint Make scheduling

More information

UC Santa Barbara. Operating Systems. Christopher Kruegel Department of Computer Science UC Santa Barbara

UC Santa Barbara. Operating Systems. Christopher Kruegel Department of Computer Science UC Santa Barbara Operating Systems Christopher Kruegel Department of Computer Science http://www.cs.ucsb.edu/~chris/ Many processes to execute, but one CPU OS time-multiplexes the CPU by operating context switching Between

More information

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance, Power & Energy ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Recall: Goal of this class Performance Reconfiguration Power/ Energy H. So, Sp10 Lecture 3 - ELEC8106/6102 2 PERFORMANCE EVALUATION

More information

NJU BIT PARALLEL TO SERIAL CONVERTER PRELIMINARY PACKAGE OUTLINE GENERAL DESCRIPTION PIN CONFIGURATION FEATURES BLOCK DIAGRAM

NJU BIT PARALLEL TO SERIAL CONVERTER PRELIMINARY PACKAGE OUTLINE GENERAL DESCRIPTION PIN CONFIGURATION FEATURES BLOCK DIAGRAM PRELIMINARY 11-BIT PARALLEL TO SERIAL CONVERTER GENERAL DESCRIPTION The NJU3754 is an 11-bit parallel to serial converter especially applying to MCU input port expander. It can operate from 2.7V to 5.5V.

More information

Utility Maximizing Routing to Data Centers

Utility Maximizing Routing to Data Centers 0-0 Utility Maximizing Routing to Data Centers M. Sarwat, J. Shin and S. Kapoor (Presented by J. Shin) Sep 26, 2011 Sep 26, 2011 1 Outline 1. Problem Definition - Data Center Allocation 2. How to construct

More information

Scheduling Periodic Real-Time Tasks on Uniprocessor Systems. LS 12, TU Dortmund

Scheduling Periodic Real-Time Tasks on Uniprocessor Systems. LS 12, TU Dortmund Scheduling Periodic Real-Time Tasks on Uniprocessor Systems Prof. Dr. Jian-Jia Chen LS 12, TU Dortmund 08, Dec., 2015 Prof. Dr. Jian-Jia Chen (LS 12, TU Dortmund) 1 / 38 Periodic Control System Pseudo-code

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Jian-Jia Chen Computer Engineering and Networks Laboratory (TIK) ETH Zurich, Switzerland jchen@tik.ee.ethz.ch Shengquan Wang Department

More information

Blind Identification of Power Sources in Processors

Blind Identification of Power Sources in Processors Blind Identification of Power Sources in Processors Sherief Reda School of Engineering Brown University, Providence, RI 2912 Email: sherief reda@brown.edu Abstract The ability to measure power consumption

More information

Robust Optimization of a Chip Multiprocessor s Performance under Power and Thermal Constraints

Robust Optimization of a Chip Multiprocessor s Performance under Power and Thermal Constraints Robust Optimization of a Chip Multiprocessor s Performance under Power and Thermal Constraints Mohammad Ghasemazar, Hadi Goudarzi and Massoud Pedram University of Southern California Department of Electrical

More information

print close Related Picking PCB Materials for Microwave Amps Matching Circuit Materials to a Design Mission Perusing Materials For High-Frequency PCBs

print close Related Picking PCB Materials for Microwave Amps Matching Circuit Materials to a Design Mission Perusing Materials For High-Frequency PCBs print close Microwaves and RF Jack Browne Mon, 2015-08-03 12:51 Sorting through different RF/microwave circuit materials educed comparisons of performance improvements and cost hikes, although some materials

More information