Vt Variation Effects on

Size: px
Start display at page:

Download "Vt Variation Effects on"

Transcription

1 Vt Variation Effects on Lifetime eliability Smruti. Sarangi Josep Torrellas University of Illinois at Urbana-Champaign

2 Motivation Chips are wearing out faster as technology scales >>180nm: 20 year design lifetime 130nm: 10 years 65nm: 7 years 32nm:??? How does process variation affect this trend? 2

3 Vt Variation andom Within-Die 3

4 FPQ FPMap FPMul FPAdd FPeg BPred Vt Variation UL2cache1 L1D IntMap UL2cache2 IntQ L1I IntExec Integ DTB ITB LdStQ UL2cache3 Systematic Within-Die 4

5 Vt Variation Die-to-Die 5

6 Vt Variation Component Variation σ/µ andom WID 5.2% Systematic WID 5.2% Die-to-Die 5.2% Total Vt variation σ/µ = 9% 6

7 Time To Failure Probability TTF 1% MTTF Time to Failure 7

8 Failure Mechanisms TTF depends mostly on temperature Exponential temperature dependence Time-Dependent Dielectric Breakdown (TDDB) Electromigration (EM) Stress Migration (SM) Quadratic temperature dependence Thermal Cycling (TC) 8

9 !""# $%&'() *+,-%&./( ( $%&'() % "2(!"#$%&'(!""# $%&'() *+,-%&./( ( $%&'() 56 "2(!"#$%&'( "+ &.*( )2+7) 12( 8+-9.*(0 (::(81 +: %1 %&&34:+', :%.&',( -(82%*.)-)6 Lifetime vs Temperature &.*( )2+7) 12( 8+-9.*(0 (::(81 +: %&& :+', :%.&',( -(82%*.)-)6 Normalized MTTF Normalized MTTF Combined Combined TC TC TDDB TDDB SM SM EM EM *%-. 72.&( ')( 12 :,+)'CC& C,+C+ 1 W* T Temperature (C) Temperature (C) 12( 12 #.;6 <6 =+,-%&./(0!""# :+, "2(,-%& 5>8&.*;?"5@A ".-( B(C(*0(*1 8+*)1 #.;6 <6 D,(%E0+7* =+,-%&./(0!""# :+,Greskamp "2(,-%&?F!@A 5>8&.*; ".-( B(C(*0(*1 9 Brian B.(&(81,.8?"BBD@A F1,())!.;,%1.+* %*0?"5@A G&(81,+-.;,%1.+* [L*

10 Lifetime vs Temperature Microprocessor MTTF (180nm SOI) 100,000 MTTF (Years) 10,000 1, Junction Temperature (C) 1.3 V 1.2 V 1.4 V Image: Freescale Semiconductor 10

11 0 105 hermal Cycling Migration (SM), ould change re dominant. tems; if any capture this 0 small cells. ognormally- sa Heat spreader Closing the Loop Vt cs2 Vteff Ps Cell 1 T cs1 MTTF Die surface Fig. 2. Thermal model and electrical equivalent of processor die, heat spreader, and sink. dependence = heat Linear = Exponential dependence and static power are both proportional to:! "2! " Vtef f c2 kt Ps µ exp q c3 kt /q Vtef f = V t c1 (T T 0) The mobility µ itself has a temperature dependence; we c /T 4 M T T 65nm F emodel has c1 = , use µ T 1.5. HotSpot s c2 = , and c3 = 1.3. With these constants, the leakage model predicts a 41% increase in static power consumption as die temperature changes from 75 C to 90 C, even 11

12 0 105 hermal Cycling Migration (SM), ould change re dominant. tems; if any capture this 0 small cells. ognormally- sa Heat spreader Closing the Loop Vt cs2 Vteff Ps Cell 1 T cs1 MTTF Die surface Fig. 2. Thermal model and electrical equivalent of processor die, heat spreader, and sink. dependence = heat Linear = Exponential dependence and static power are both proportional to:! "2! " Vtef f c2 kt Ps µ exp q c3 kt /q Vtef f = V t c1 (T T 0) The mobility µ itself has a temperature dependence; we c /T 4 M T T 65nm F emodel has c1 = , use µ T 1.5. HotSpot s c2 = , and c3 = 1.3. With these constants, the leakage model predicts a 41% increase in static power consumption as die temperature changes from 75 C to 90 C, even 12

13 0 105 hermal Cycling Migration (SM), ould change re dominant. tems; if any capture this 0 small cells. ognormally- sa Heat spreader Closing the Loop Vt cs2 Vteff Ps Cell 1 T cs1 MTTF Die surface Fig. 2. Thermal model and electrical equivalent of processor die, heat spreader, and sink. dependence = heat Linear = Exponential dependence and static power are both proportional to:! "2! " Vtef f c2 kt Ps µ exp q c3 kt /q Vtef f = V t c1 (T T 0) The mobility µ itself has a temperature dependence; we c /T 4 M T T 65nm F emodel has c1 = , use µ T 1.5. HotSpot s c2 = , and c3 = 1.3. With these constants, the leakage model predicts a 41% increase in static power consumption as die temperature changes from 75 C to 90 C, even 13

14 )'9)1,%1(,().)1%*8( csi 1+,(%82 12( 2(%1 )C,(%0(,6 #.*%&&>A 12( 2(%1).*E 0.)).C%1() 2(%1 12,+';2 12(,-%&,().)1%*8( se 1+ 12( (*$.,+*-(*1A ) %))'-(0 1+ 9( %1 NL 56 S( %))'-( )1(%0>)1%1( +C(,%1.+*A 12(,(:+,(.;*+,.*; 2(%1 )1+,%;(.* 12( 12(,-%& 8+-C+*(*1)6 T00.1.+*%&&>A %) )2+7*.* #.;',( PA 7( %&&+7 &%1(,%& 8+*0'81.+*.* 12( 0.( 9> -+0(&.*; % &%,;( 12(,-%&,().)1%*8( 9(17((* %0U%8(*1 8(&&)6 Temperature Model Environment (45 C) Heatsink se Heat spreader cs2 cs1 Cell 1 Heatsink Heat spreader Package Die Die surface #.;6 P6 "2(,-%& %))(-9&>?,.;21@ %*0 (&(81,.8%& (V'.$%&(*1?&(:1@ +: C,+8())+, 0.(A 2(%1 )C,(%0(,A %*0 2(%1).*E6 Modeled with HotSpot (Skadron et al.) 0(*8( %*0 +*&> % :'* 12( FC2(, +61_) M<PO 8(&&) )(C%,(&%1(06 #+ 12( &(*;12 T:1(, 12 -.*(0A 7( $%,.%1.+* 8 0.() ,%*).)1+, "2.) 8+-C %*0 *+ )C D%)(0 σvt0 = *1,.9'1.+ 7( )(1 (0.09/ 3 14

15 Experiment For i = 1 to do 1. andomly generate die i Vt variation map 2. Partition die into 1000 equally-sized cells 3. Compute temperature for each cell 4. Generate lifetime distribution for each cell 5. Sample lifetime distribution for each cell 6. Die i lifetime = min(cell_lifetimes) 15

16 Processor Model Model Intel Core Solo 65nm floorplan Per-unit dynamic power modeling SESC cycle-accurate simulator with WATTCH Profile from crafty SPECint benchmark Steady-state dynamic power = 14W HotSpot thermal model 16

17 Example Die Before Variation DTB BPred Integ IntMap FPMap FPQ IntMap FPMul IntQ FPQ IntQ FPAdd FPeg BPred ITB LdStQ ITB LdStQ FPAdd FPeg FPMul FPMap L1D L1I L1D L1I MTTF=0.90 DTB IntExec IntExec Integ After Variation MTTF=0.75 MTTF=0.95 MTTF=0.85 MTTF=1.0 UL2cache1 UL2cache2 UL2cache3 UL2cache1 UL2cache2 UL2cache3 MTTF=0.8 Fig. 6. Spatial distribution Brian of cell Greskamp MTTFs before and after variation for an example die. Color and contours indicate MTTF. extreme va temperature than norma C. Future T Assumin on lifetime 17 scales, per-

18 65< se G 3&, %!,!%!P# $.# *#6!&(!6!$)!%A&3$ 5H Vt0 L&*!&$!5, esult: Vt Variation $ &6"5 ".5<" $.&$ *#6&$!L#6) "%&66 3.&,-#"!, se 3&,.&L# Impact on <.#, Lifetime $*5,- *#6!&(!6!$) 35,"#]+#,3#" U ^ 1FM2@U_` U` T T F1% O_^ FUOO1^1`@ ansm1/ _O ^1/U/@N`21 N`F in _O TTF `_ 7 an^un@u_` 0_=1^? eduction Vt Variation 1% due to S1NbNc1 Pleak0 (W ) X?K 9I?I 9T?K 9K?I I?d 7T[ 7T[ 7W[ 79>[ se (K/W ) I?X I?W I?e 7:[ 7>[ 7>[ 7d[ 799[ 79e[ 79T[ 7TK[ 7KI[ 7:X[ 7d9[ 7dX[ Ua? 2 _`2SM/U_`/ Brian Greskamp 9?I 7e[ 7:>[ 7dT[ 7d>[ 18

19 Conclusion D2D and systematic variations are biggest problem for aging Potential solutions educe overall leakage Increase heatsink size 19

20 Vt Variation Effects on Lifetime eliability Smruti. Sarangi Josep Torrellas University of Illinois at Urbana-Champaign

Temperature Aware Floorplanning

Temperature Aware Floorplanning Temperature Aware Floorplanning Yongkui Han, Israel Koren and Csaba Andras Moritz Depment of Electrical and Computer Engineering University of Massachusetts,Amherst, MA 13 E-mail: yhan,koren,andras @ecs.umass.edu

More information

TEMPERATURE-AWARE COMPUTER SYSTEMS: OPPORTUNITIES AND CHALLENGES

TEMPERATURE-AWARE COMPUTER SYSTEMS: OPPORTUNITIES AND CHALLENGES TEMPERATURE-AWARE COMPUTER SYSTEMS: OPPORTUNITIES AND CHALLENGES TEMPERATURE-AWARE DESIGN TECHNIQUES HAVE AN IMPORTANT ROLE TO PLAY IN ADDITION TO TRADITIONAL TECHNIQUES LIKE POWER-AWARE DESIGN AND PACKAGE-

More information

Enabling Power Density and Thermal-Aware Floorplanning

Enabling Power Density and Thermal-Aware Floorplanning Enabling Power Density and Thermal-Aware Floorplanning Ehsan K. Ardestani, Amirkoushyar Ziabari, Ali Shakouri, and Jose Renau School of Engineering University of California Santa Cruz 1156 High Street

More information

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling Tam Chantem Electrical & Computer Engineering High performance Energy efficient Multicore Systems High complexity

More information

Fast Thermal Simulation for Run-Time Temperature Tracking and Management

Fast Thermal Simulation for Run-Time Temperature Tracking and Management Fast Thermal Simulation for Run-Time Temperature Tracking and Management Pu Liu, Student Member, IEEE, Hang Li, Student Member, IEEE, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Senior Member, IEEE, Jun Yang,

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters

Scaling of MOS Circuits. 4. International Technology Roadmap for Semiconductors (ITRS) 6. Scaling factors for device parameters 1 Scaling of MOS Circuits CONTENTS 1. What is scaling?. Why scaling? 3. Figure(s) of Merit (FoM) for scaling 4. International Technology Roadmap for Semiconductors (ITRS) 5. Scaling models 6. Scaling factors

More information

Thermal Scheduling SImulator for Chip Multiprocessors

Thermal Scheduling SImulator for Chip Multiprocessors TSIC: Thermal Scheduling SImulator for Chip Multiprocessors Kyriakos Stavrou Pedro Trancoso CASPER group Department of Computer Science University Of Cyprus The CASPER group: Computer Architecture System

More information

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability 1 Analysis of Temporal and Spatial Temperature Gradients for IC Reliability UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-24-8 MARCH 24 Zhijian Lu, Wei Huang, Shougata Ghosh, John Lach, Mircea

More information

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs A Simulation Methodology for Reliability Analysis in Multi-Core SoCs Ayse K. Coskun, Tajana Simunic Rosing University of California San Diego (UCSD) 95 Gilman Dr. La Jolla CA 92093-0404 {acoskun, tajana}@cs.ucsd.edu

More information

The Case for Lifetime Reliability-Aware Microprocessors Λ

The Case for Lifetime Reliability-Aware Microprocessors Λ The Case for Lifetime Reliability-Aware Microprocessors Λ Jayanth Srinivasan, Sarita V. Adve University of Illinois at Urbana-Champaign Department of Computer Science fsrinivsn,sadveg@cs.uiuc.edu, Pradip

More information

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms Chang-Chih Chen and Linda Milor School of Electrical and Comptuer Engineering, Georgia Institute of Technology,

More information

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects

VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Smruti R. Sarangi, Brian Greskamp, Radu Teodorescu, Jun Nakano, Abhishekh Tiwarii and Josep Torrellas University of

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB

Power Consumption in CMOS CONCORDIA VLSI DESIGN LAB Power Consumption in CMOS 1 Power Dissipation in CMOS Two Components contribute to the power dissipation:» Static Power Dissipation Leakage current Sub-threshold current» Dynamic Power Dissipation Short

More information

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT Sandeep Lalawat and Prof.Y.S.Thakur lalawat_er2007@yahoo.co.in,ystgecu@yahoo.co.in Abstract This paper present specific device level life time

More information

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors

More information

Simulated Annealing Based Temperature Aware Floorplanning

Simulated Annealing Based Temperature Aware Floorplanning Copyright 7 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Low Power Electronics Vol. 3, 1 15, 7 Simulated Annealing Based Temperature Aware Floorplanning

More information

UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS OCTOBER 2006

UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS OCTOBER 2006 Interconnect Lifetime Prediction with Temporal and Spatial Temperature Gradients for Reliability-Aware Design and Runtime Management: Modeling and Applications UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE

More information

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

Introduction to Reliability Simulation with EKV Device Model

Introduction to Reliability Simulation with EKV Device Model Introduction to Reliability Simulation with Device Model Benoît Mongellaz Laboratoire IXL ENSEIRB - Université Bordeaux 1 - UMR CNRS 5818 Workshop november 4-5th, Lausanne 1 Motivation & Goal Introduced

More information

Interconnect Lifetime Prediction for Temperature-Aware Design

Interconnect Lifetime Prediction for Temperature-Aware Design Interconnect Lifetime Prediction for Temperature-Aware Design UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-23-2 NOVEMBER 23 Zhijian Lu, Mircea Stan, John Lach, Kevin Skadron Departments

More information

Semiconductor Reliability

Semiconductor Reliability Semiconductor Reliability. Semiconductor Device Failure Region Below figure shows the time-dependent change in the semiconductor device failure rate. Discussions on failure rate change in time often classify

More information

Mitigating Semiconductor Hotspots

Mitigating Semiconductor Hotspots Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919) 485-5509 slee@nextremethermal.com www.nextremethermal.com 1 Agenda Motivation

More information

Reliability of semiconductor I Cs. Reliability of semiconductor I Cs plus

Reliability of semiconductor I Cs. Reliability of semiconductor I Cs plus M.I.T. Reliability of semiconductor I Cs plus spin-based electronics Read Campbell, p. 425-428 and Ch. 20. Sec. 20.1, 20.2; Plummer, Sec. 11.5.6 IC reliability: Yield =(#operating parts) / (total # produced)

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com Report Title: Report Type: Date: Qualification Test Report See Attached

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Linear Phase-Noise Model

Linear Phase-Noise Model Linear Phase-Noise Model 41 Sub-Outline Generic Linear Phase-Noise Model Circuit-Specific Linear Phase-Noise Model 4 Generic Linear Phase-Noise Model - Outline Linear Oscillator Model LC-Tank noise active

More information

Analytical Model for Sensor Placement on Microprocessors

Analytical Model for Sensor Placement on Microprocessors Analytical Model for Sensor Placement on Microprocessors Kyeong-Jae Lee, Kevin Skadron, and Wei Huang Departments of Computer Science, and Electrical and Computer Engineering University of Virginia kl2z@alumni.virginia.edu,

More information

Problem Formulation for Arch Sim and EM Model

Problem Formulation for Arch Sim and EM Model Problem Formulation for Arch Sim and EM Model 1 Problem Formulation 1.1 System Description The system consists of M modules, each module has L wire segments. The wire segments are routed in same or different

More information

System-Level Power, Thermal and Reliability Optimization

System-Level Power, Thermal and Reliability Optimization System-Level Power, Thermal and Reliability Optimization by Changyun Zhu A thesis submitted to the Department of Electrical and Computer Engineering in conformity with the requirements for the degree of

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy Thermal Interface Materials (TIMs) for IC Cooling Percy Chinoy March 19, 2008 Outline Thermal Impedance Interfacial Contact Resistance Polymer TIM Product Platforms TIM Design TIM Trends Summary 2 PARKER

More information

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature

Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Time Dependent Dielectric Breakdown in High Voltage GaN MIS HEMTs: The Role of Temperature Shireen Warnock, Allison Lemus, and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

A Model for Timing Errors in Processors with Parameter Variation

A Model for Timing Errors in Processors with Parameter Variation A Model for Timing Errors in Processors with Parameter Variation Smruti R. Sarangi, Brian Greskamp, and Josep Torrellas University of Illinois at Urbana-Champaign {sarangi,greskamp,torrellas}@cs.uiuc.edu

More information

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors Ravishankar Rao and Sarma Vrudhula Department of Computer Science and Engineering Arizona

More information

AN An Analysis for Power Dissipation of LDO Application with High Power. Hawk Chen. Thermal topology of LDO: Introduction:

AN An Analysis for Power Dissipation of LDO Application with High Power. Hawk Chen. Thermal topology of LDO: Introduction: An Analysis for Power Dissipation of LDO Application with High Power Hawk Chen When an LDO is operating, its on-chip devices dissipate power as a heat way. Heat flow from a higher to a lower temperature

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Optimization of an On-Chip Active Cooling System Based on Thin-Film Thermoelectric Coolers

Optimization of an On-Chip Active Cooling System Based on Thin-Film Thermoelectric Coolers Optimization of an On-Chip Active Cooling System Based on Thin-Film Thermoelectric Coolers Jieyi Long, Seda Ogrenci Memik and Matthew Grayson Dept. of EECS, Northwestern Univ., Evaston, IL 60208 {jlo198,

More information

On Potential Design Impacts of Electromigration Awareness

On Potential Design Impacts of Electromigration Awareness On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report GaAs Schottky Diode Products 051-06444 rev C Marki Microwave Inc. 215 Vineyard Court, Morgan Hill, CA 95037 Phone: (408) 778-4200 / FAX: (408) 778-4300 Email: info@markimicrowave.com

More information

OFF-state TDDB in High-Voltage GaN MIS-HEMTs

OFF-state TDDB in High-Voltage GaN MIS-HEMTs OFF-state TDDB in High-Voltage GaN MIS-HEMTs Shireen Warnock and Jesús A. del Alamo Microsystems Technology Laboratories (MTL) Massachusetts Institute of Technology (MIT) Purpose Further understanding

More information

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays

WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays Mahadevan Gomathisankaran Iowa State University gmdev@iastate.edu Akhilesh Tyagi Iowa State University tyagi@iastate.edu ➀ Introduction

More information

Coupled Power and Thermal Simulation with Active Cooling

Coupled Power and Thermal Simulation with Active Cooling Coupled Power and Thermal Simulation with Active Cooling Weiping Liao and Lei He Electrical Engineering Department University of California, Los Angeles, CA 90095 {wliao,lhe}@ee.ucla.edu Abstract. Power

More information

Coupled Power and Thermal Simulation with Active Cooling

Coupled Power and Thermal Simulation with Active Cooling Coupled Power and Thermal Simulation with Active Cooling Weiping Liao and Lei He Electrical Engineering Department, University of California, Los Angeles, CA 90095 {wliao, lhe}@ee.ucla.edu Abstract. Power

More information

A NEW METHOD OF DYNAMIC RELIABILITY MANAGEMENT FOR CHIP MULTI-PROCESSORS

A NEW METHOD OF DYNAMIC RELIABILITY MANAGEMENT FOR CHIP MULTI-PROCESSORS A NEW METHOD OF DYNAMIC RELIABILITY MANAGEMENT FOR CHIP MULTI-PROCESSORS A Thesis Submitted to the Graduate Faculty of the North Dakota State University of Agriculture and Applied Science By Alexandre

More information

ULTRAFAST TEMPERATURE PROFILE CALCULATION IN IC CHIPS. Travis Kemper, Yan Zhang, Zhixi Bian and Ali Shakouri

ULTRAFAST TEMPERATURE PROFILE CALCULATION IN IC CHIPS. Travis Kemper, Yan Zhang, Zhixi Bian and Ali Shakouri Nice, Côte d Azur, France, 27-29 September 2006 ULTRAFAST TEMPERATURE PROFILE CALCULATION IN IC CHIPS Travis Kemper, Yan Zhang, Zhixi Bian and Ali Shakouri Baskin School of Engineering, University of California

More information

Effects of electrical, thermal and thermal gradient stress on reliability of metal interconnects

Effects of electrical, thermal and thermal gradient stress on reliability of metal interconnects Graduate Theses and Dissertations Graduate College 2014 Effects of electrical, thermal and thermal gradient stress on reliability of metal interconnects Srijita Patra Iowa State University Follow this

More information

VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects

VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects VARIUS: A Model of Parameter Variation and Resulting Timing Errors for Microarchitects Radu Teodorescu, Brian Greskamp, Jun Nakano, Smruti R. Sarangi, Abhishek Tiwari and Josep Torrellas University of

More information

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Zhe Wang, Sanjay Ranka and Prabhat Mishra Dept. of Computer and Information Science and Engineering University of Florida,

More information

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009 Jan 3, 29 Research Challenges and Opportunities in 3D Integrated Circuits Ankur Jain ankur.jain@freescale.com, ankurjain@stanfordalumni.org Freescale Semiconductor, Inc. 28. 1 What is Three-dimensional

More information

Energy-Optimal Dynamic Thermal Management for Green Computing

Energy-Optimal Dynamic Thermal Management for Green Computing Energy-Optimal Dynamic Thermal Management for Green Computing Donghwa Shin, Jihun Kim and Naehyuck Chang Seoul National University, Korea {dhshin, jhkim, naehyuck} @elpl.snu.ac.kr Jinhang Choi, Sung Woo

More information

Where Does Power Go in CMOS?

Where Does Power Go in CMOS? Power Dissipation Where Does Power Go in CMOS? Dynamic Power Consumption Charging and Discharging Capacitors Short Circuit Currents Short Circuit Path between Supply Rails during Switching Leakage Leaking

More information

Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers

Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers Study of Steady and Transient Thermal Behavior of High Power Semiconductor Lasers Zhenbang Yuan a, Jingwei Wang b, Di Wu c, Xu Chen a, Xingsheng Liu b,c a School of Chemical Engineering & Technology of

More information

Granularity of Microprocessor Thermal Management: A Technical Report

Granularity of Microprocessor Thermal Management: A Technical Report Granularity of Microprocessor Thermal Management: A Technical Report Karthik Sankaranarayanan, Wei Huang, Mircea R. Stan, Hossein Haj-Hariri, Robert J. Ribando and Kevin Skadron Department of Computer

More information

Ratcheting deformation in thin film structures

Ratcheting deformation in thin film structures Ratcheting deformation in thin film structures Z. SUO Princeton University Work with MIN HUANG, Rui Huang, Jim Liang, Jean Prevost Princeton University Q. MA, H. Fujimoto, J. He Intel Corporation Interconnect

More information

A Fast Leakage Aware Thermal Simulator for 3D Chips

A Fast Leakage Aware Thermal Simulator for 3D Chips A Fast Leakage Aware Thermal Simulator for 3D Chips Hameedah Sultan School of Information Technology Indian Institute of Technology, New Delhi, India Email: hameedah@cse.iitd.ac.in Smruti R. Sarangi Computer

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach Hwisung Jung, Massoud Pedram Outline Introduction Background Thermal Management Framework Accuracy of Modeling Policy Representation

More information

Parametric Failures in COTS Capacitors

Parametric Failures in COTS Capacitors NASA Electronic Parts and Packaging (NEPP) Program Parametric Failures in COTS Capacitors Alexander Teverovsky*, Michael Sampson ** *ASRC AS&D, Inc. work performed for NASA GSFC Code 562 ** NEPP program

More information

Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient Analysis

Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient Analysis Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient Analysis Vidyasagar Nookala David J. Lilja Sachin S. Sapatnekar ECE Dept, University of Minnesota,

More information

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage Lei He, Weiping Liao and Mircea R. Stan EE Department, University of California, Los Angeles 90095 ECE Department,

More information

A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS

A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS Presented at the COMSOL Conference 2008 Boston A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS Ravi S. Achanta, Joel L. Plawsky and William N.

More information

Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling

Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling Enhancing Multicore Reliability through Wear Compensation in Online Assignment and Scheduling Thidapat Chantem Department of ECE Utah State University Logan, UT 84322 tam.chantem@usu.edu Yun Xiang Department

More information

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling

More information

Heat Sinks and Component Temperature Control

Heat Sinks and Component Temperature Control Lecture Notes Heat Sinks and Component Temperature Control Heat Sinks - 1 Need for Component Temperature Control All components, capacitors, inductors and transformers, and semiconductor devices and circuits

More information

ELEC 3908, Physical Electronics, Lecture 18. The Early Effect, Breakdown and Self-Heating

ELEC 3908, Physical Electronics, Lecture 18. The Early Effect, Breakdown and Self-Heating ELEC 3908, Physical Electronics, Lecture 18 The Early Effect, Breakdown and Self-Heating Lecture Outline Previous 2 lectures analyzed fundamental static (dc) carrier transport in the bipolar transistor

More information

Microprocessor Floorplanning with Power Load Aware Temporal Temperature Variation

Microprocessor Floorplanning with Power Load Aware Temporal Temperature Variation Microprocessor Floorplanning with Power Load Aware Temporal Temperature Variation Chun-Ta Chu, Xinyi Zhang, Lei He, and Tom Tong Jing Department of Electrical Engineering, University of California at Los

More information

Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors

Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors Duo Li and Sheldon X-D Tan Dept of Electrical Engineering University of California Riverside, CA 95 Eduardo H Pacheco and Murli

More information

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. Thermal Management

FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect. Thermal Management FYS4260/FYS9260: Microsystems and Electronics Packaging and Interconnect Thermal Management Figure information preceeding page Free convection thermoelectric cooler (Peltier cooler) with heat sink surface

More information

Future trends in radiation hard electronics

Future trends in radiation hard electronics Future trends in radiation hard electronics F. Faccio CERN, Geneva, Switzerland Outline Radiation effects in CMOS technologies Deep submicron CMOS for radiation environments What is the future going to

More information

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the Thermal Measurements & Characterizations of Real Processors Honors Thesis Submitted by Shiqing, Poh In partial fulfillment of the Sc.B. In Electrical Engineering Brown University Prepared under the Direction

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

Oxidation of Si. Why spend a whole lecture on oxidation of Si? GaAs has high m and direct band no oxide

Oxidation of Si. Why spend a whole lecture on oxidation of Si? GaAs has high m and direct band no oxide Oxidation of Why spend a whole lecture on oxidation of? Ge has high m e, m h, Ge stable but no oxide GaAs has high m and direct band no oxide e Why? is stable down to 10-9 Torr, T > 900 C can be etched

More information

Ming-C. Cheng: Research overview

Ming-C. Cheng: Research overview Ming-C. Cheng: Research overview Dept. of Electrical & Computer Engineering Clarkson University. Potsdam, NY, mcheng@clarkson.edu Research Experiences Block-Based Reduced Order Thermal Modeling for semiconductor

More information

Chapter 7 Physical Reliability Models

Chapter 7 Physical Reliability Models Chapter 7 Physical Reliability Models Periodic Loads Random Loads Physics of Failure Reliability just has to be my favorite subject! C. Ebeling, Intro to Reliability & Maintainability Engineering, Chapter

More information

BUK A. 1. Product profile. 2. Pinning information. TrenchMOS standard level FET. 1.1 Description. 1.2 Features. 1.

BUK A. 1. Product profile. 2. Pinning information. TrenchMOS standard level FET. 1.1 Description. 1.2 Features. 1. M3D3 Rev. 1 29 January 24 Product data 1. Product profile 1.1 Description N-channel enhancement mode field-effect power transistor in a plastic package using Philips General-Purpose Automotive TrenchMOS

More information

Assessment of Current Density Singularity in Electromigration of Solder Bumps

Assessment of Current Density Singularity in Electromigration of Solder Bumps Assessment of Current Density Singularity in Electromigration of Solder Bumps Pridhvi Dandu and Xuejun Fan Department of Mechanical Engineering Lamar University PO Box 10028, Beaumont, TX 77710, USA Tel:

More information

Thermal Measurement and Simulation of the Component Rework Profile Temperature

Thermal Measurement and Simulation of the Component Rework Profile Temperature Thermal Measurement and Simulation of the Component Rework Profile Temperature J.T. Nurminen Oulu University of Applied Sciences, School of Engineering, Oulu, Finland janne.nurminen@osao.fi Abstract In

More information

23.0 Review Introduction

23.0 Review Introduction EE650R: Reliability Physics of Nanoelectronic Devices Lecture 23: TDDB: Measurement of bulk trap density Date: Nov 13 2006 Classnotes: Dhanoop Varghese Review: Nauman Z Butt 23.0 Review In the last few

More information

Nanoscale CMOS Design Issues

Nanoscale CMOS Design Issues Nanoscale CMOS Design Issues Jaydeep P. Kulkarni Assistant Professor, ECE Department The University of Texas at Austin jaydeep@austin.utexas.edu Fall, 2017, VLSI-1 Class Transistor I-V Review Agenda Non-ideal

More information

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Shahin Golshan 1, Eli Bozorgzadeh 1, Benamin C Schafer 2, Kazutoshi Wakabayashi 2, Houman Homayoun 1 and Alex Veidenbaum

More information

Modeling of dielectric reliability in copper damascene interconnect systems under BTS conditions

Modeling of dielectric reliability in copper damascene interconnect systems under BTS conditions Modeling of dielectric reliability in copper damascene interconnect systems under BTS conditions P. Bělský 1, R. Streiter 2, H. Wolf 2, S. E. Schulz 1,2, O. Aubel 3, and T. Gessner 1,2 1 Chemnitz University

More information

FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration

FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration Darshan Gandhi, Andreas Gerstlauer, Lizy John Electrical and Computer Engineering The University of Texas at Austin Email:

More information

1200 V 600 A IGBT Module

1200 V 600 A IGBT Module 1200 V 600 A IGBT RoHS Features Trench-gate field stop IGBT technology Low saturation voltage and positive temperature coefficient Fast switching and short tail current Free wheeling diodes with fast and

More information

5V/400mA Low Drop Voltage ILE4275 TECHNICAL DATA

5V/400mA Low Drop Voltage ILE4275 TECHNICAL DATA TECHNICAL DATA 5V/400mA Low Drop Voltage ILE4275 is integrated circuits of voltage regulator 5V/400 ma with low-drop voltage. The IC of voltage regulator 5V/400 ma are purposed to supply DC voltage 5V

More information

Standard Rectifier Module

Standard Rectifier Module Standard ectifier Module M = 2x 12 I FA = 12A = 1.13 F Phase leg Part number MDD95-12N1B Backside: isolated 2 1 3 Features / Advantages: Applications: Package: TO-24AA Package with B ceramic Improved temperature

More information

Standard Rectifier Module

Standard Rectifier Module Standard ectifier Module M = 2x 16 I FA = 71A = 1.14 F Phase leg Part number MDD56-16N1B Backside: isolated 2 1 3 Features / Advantages: Applications: Package: TO-24AA Package with B ceramic Improved temperature

More information

Temperature-Aware Performance and Power Modeling

Temperature-Aware Performance and Power Modeling Technical Report UCLA Engr. 04-250 University of California at Los Angeles Temperature-Aware Performance and Power Modeling Weiping Liao, Lei He and Kevin Lepak Electrical Engineering Department University

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 15: March 15, 2018 Euler Paths, Energy Basics and Optimization Midterm! Midterm " Mean: 89.7 " Standard Dev: 8.12 2 Lecture Outline! Euler

More information

Lecture 4: Technology Scaling

Lecture 4: Technology Scaling Digital Integrated Circuits (83-313) Lecture 4: Technology Scaling Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 2 April 2017 Disclaimer: This course was prepared, in its

More information

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000 Power in Digital CMOS Circuits Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2004 by Mark Horowitz MAH 1 Fruits of Scaling SpecInt 2000 1000.00 100.00 10.00

More information

Thermal Management for LED Applications

Thermal Management for LED Applications Thermal Management for LED Applications What is the role of the PCB? http://saturnelectronics.com/thermal_pcb_design_led_signup.htm Presented by Clemens Lasance Clemens is a former Principal Scientist

More information

New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza

New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza New Exploration Frameworks for Temperature-Aware Degn of MPSoCs Prof. David Atienza Dept Computer Architecture and Systems Engineering (DACYA) Complutense Univerty of Madrid, Spain Integrated Systems Lab

More information

DESIGN OPTIMIZATION OF MICROPROCESSOR HEATSINK AND ITS IMPACT ON PROCESSOR PERFORMANCE

DESIGN OPTIMIZATION OF MICROPROCESSOR HEATSINK AND ITS IMPACT ON PROCESSOR PERFORMANCE 8 International Journal on, Vol.3, No.1, January 2009 Abstract DESIGN OPTIMIZATION OF MICROPROCESSOR HEATSINK AND ITS IMPACT ON PROCESSOR PERFORMANCE 1 2 3 S.Manivannan, R.Arumugam, N.M Sudharsan 1 Department

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

The PSP compact MOSFET model An update

The PSP compact MOSFET model An update The PSP compact MOSFET model An update Gert-Jan Smit, Andries Scholten, D.B.M. Klaassen NXP Semiconductors Ronald van Langevelde Philips Research Europe Gennady Gildenblat, Weimin Wu, Xin Li, Amit Jha,

More information

ECE PN Junctions and Diodes

ECE PN Junctions and Diodes ECE 342 2. PN Junctions and iodes Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 342 Jose Schutt Aine 1 B: material dependent parameter = 5.4 10

More information

Automotive Grade Silicon Capacitors for Under the Hood Applications

Automotive Grade Silicon Capacitors for Under the Hood Applications Automotive Grade Silicon Capacitors for Under the Hood Applications Sébastien Jacqueline, Laurent Lengignon, Laëtitia Omnès IPDiA, 2 rue de la Girafe, 14000 Caen, France laetitia.omnes@ipdia.com, +33 (0)

More information