Answers to Problems. For Fundamentals of Logic Design second edition (corrected version)

Size: px
Start display at page:

Download "Answers to Problems. For Fundamentals of Logic Design second edition (corrected version)"

Transcription

1 Answers to Problems For Fundamentals of Logic Design second edition (corrected version) 3

2 Answers to hapter Problems. (a) (b) 38.5 (c) 53.5 (d) (e) (f) (a) = 33 9 (b) = A 6 (c) 78 = 3 5 (d) 3467 = (a).36 8 (b).78 6 (c).3 5 (d). 4. (a) 6 = 496 = (b) 3 = 8 = 3 6 (c) 4 5 = 59 = 35 6 (d) = 847 = R = 8 6. (a) = 64 6 = ( ) = 44 8 (b) 7 = D9 6 = ( ) = 33 8 (c) = D8.A 6 = (. ) = (d) =.8 6 = (. ) = (a) 47 = ( ) = ( ) = (b) (c) (d) = ( ) 8. (a) 5 (b) 5 (c) 76 (d) (a) (b) (c) (d). (a) 3. 4 = 9 E. 6 6 (b). 3 4 = (. ) (c) 3. 4 = 3.5 (d) 3 4 = (a) ( ) = 3 4 (b) () 6 = ( ) 4 (c) ( ) 8 = ( ) = ( ) 4 (d) = (a) ( 58 ) = ( ) D (b) ( ) = ( 3 ) = ( ) D (c) ( ) D = ( 9357 ) (d) ( ) D = ( ) = ( ) 4

3 3. (a) ( 78 ) = ( ) Excess-3 (b) ( ) Excess-3 = ( 68 ) = ( ) D (c) ( ) = ( 8 ) = ( ) Excess-3 (d) ( F ) 6 = ( 485 ) = ( ) D 4. (a) (,,,,,,,,, ) or (,,,,,,,,, ) (b) (,,,,,,,,, ) or (,,,,,,,,, ) 5. (a) ecause it is impossible to encode decimal digit. (b) Yes. For example : (6, 4, 3, ) weighted code. How about (6, 4, 3, ), (6, 4, 3, ), and ( 6, 4, 3, )? 5

4 Answers to hapter 3 Problems. AD f(a,,, D) (a) (b) (c). (a) (b) (c) (d) A 3. (a) A + (b) A + D (c) A + + (d) + D 4. (a) x + y (b) x + y (c) (d) x + y 5. (a) a (b + c + d) (b) a b (c + d) (c) b d (d) a b + ab 6. (a) y + w xz (b) b (a + c) (c) ad + c(b + d) 7. (a) ab + ad + cd (b) ab + b d + c d + ad (c) a bd + bcd (d) ac + a b + a c d 8. (c) LHS = A + A + = A + A A + A = + A + A = RHS 9. (a) ac + abd + a bc (b) ac d + abd (c) a d + bd. (a) (a + c)(a + b)(a + d ) (b) d( a + c )(b + c )(a + b) (c) a(b + c + d ) 6

5 . (a) (a + b + c)[(e + d'c') a'] (b) (a + b + d)[c' + (a' + b') d'] (c) [(w' + x) + (y + z) u] (u' + w' + xy). (a) (a + b + c') (b + c + d) (a' + c' + d') (b) (a + b'd) (a' + c' + be) (c) (d' + a'c + b'c') (a + d) 3. (a) c + a bd + ab d (b) ab' + ad' + b'c' (c) y' + u' x' w z + w' x z 4. (a) (a' +b')(a' + d)(a + c') (b) (b' + d')(a + b + d)(b + c + d) (c) (a + b + d)(b + c +d)(a + b + c)(b + c +d ) 7

6 Answers to hapter 4 Problems. (a) xy z +xy z + x y z + x y z + x yz + x yz (b) w x y z + w x y z + wx y z + wx y z + wxy z + wxy z + w x yz + w xyz (c) AD + AD + A D + A D + A D + A D + A D + A D (d) A D + A D + A D + A D + A D + A D + A D + A D + A D + A D + A D + AD. (a) (x + y + z )(x + y + z)(x +y + z )(x +y + z ) (b) (w +x+y +z )(w+x+y +z )(w+x +y +z)(w+x +y+z)(w+x+y +z)(w+x+y+z) 3. (a) m (3,5,7,,,3,4,5) = M (,,,4,6,8,9, ) (b) m (,,4,5,6,3,5) = M (,3,7,8,9,,,,4) (c) M (,,,3,4,6,,,4) = m(5,7,8,9,,3,5) (d) M (,,3,5,6,7,9,,3,4,5) = m(,4,8,,) (e) M(5,7,8,3,5,6-4,9,3) = m(-4,6,9-,4,5-8,3) (f) m(8,9,,.3,6,7,.,4,5,7,8,9) = M(-7,,4,5,8,9,,3,6,3,3) 4. (a) m (,,,4,6,8,9,) = M(3,5,7,,,3,4,5) (b) m (,3,7,8,9,,,,4) = M(,,4,5,6,3,5) (c) m (,,,3,4,6,,,4) = M(5,7,8,9,,3,5) (d) m (,,3,5,6,7,9,,3,4,5) = M(,4,8,,) (e) m (5,7,8,3,5,6-4,9,3) = M(-4,6,9-,4,5-8,3) (f) M(8,9,,.3,6,7,.,4,5,7,8,9) = m (-7,,4,5,8,9,,3,6,3,3) 5. (a) w x y z +w x y z+w x yz +w xy z +w xyz +wx y z +wx yz +wxy z +wxyz (b) (w+x+y +z )(w+x +y+z )(w+x +y +z )(w +x+y+z )(w +x+y +z )(w +x +y+z ) (w +x +y +z ) (c) w x y + z (d) (w + z ) (x + z ) (y + z ) 6. F(A,D,,) = m(,4,5,6,7,9,,,4) 7. m (,,,4,5,6,7,9,) + d(,3,4,5) = M(3,8,) D(,3,4,5) 8. (a) f = m(,3,6,8,9,,3,4) (b) g = m(3,4,5,6,,,3) (c) f g = m(,,7,,5) (d) f + g = m(,,,4,5,7,8,9,,,4,5) (e) f g = m (,8,9,4) (f) f g = m(4,5,) 8

7 (g) f + g = (f g) = m (,3,4,5,6,8,9,,,3,4) (h) f g = f g + f g = m(,8,9,4) + m(4,5,) = m(,4,5,8,9,,4) 9. (a) a' b c' + a b' c' + a' b' c + a b c (b) a' c' + a b' c (c) a + b + d e. (a) m(,3,4,5,7,,,4) (b) m(,3,5,6,8,3,4,5) (c) m(,,,3,4,5,6,8,9,,,3). F AD= =, F AD= = +, F AD= =, F AD= = +. F = D (A + E ) + D(AE ) + D () + DA( + E ) = A D + D E + ADE + AD 3. F A= = E + D 4. The correct given sub-functions are as follows: (corrections in red) F A = = DE + D F A = = ( + D )E F A = = + D E F AD = = F AD = = + E F = A DE + A D + A E + A D E + A + A D E + AD + AD + ADE = A DE + A D + A E + A D E + A + A D E + A + ADE = A DE + A D + A E + A D E + A + A D E + A + ADE = A E ( D + + D ) + A D + A + A D E + A + ADE = A E ( D + + D+ D ) + A D + A + A D E + A + ADE (onsensus Th.) = A E ( D + D + D ) + A D + A + A D E + A + ADE (onsensus Th.) = A DE + A DE + A D E + A D + A + A D E + A + ADE = A DE + DE + A D E + A D + A + A D E + A + ADE 5. f = M(,3,4,6) = ( A + + )( + ) ( A + ) 6. ircuit (a) x = a b + ab y = a bc z = b c + bc ircuit (b) x = a b y = a b + c z = ircuit (c) x = ab y = ab + c + d z = c + d 7. F = (A + + ) (A + D) ( + D) ( + D) = A D + D + D + A 8. F = A D + D + D + A 9

8 A D D F 9. Let the inputs of the (6,3,,) weighted code be A,,,D. Simplest SOP /V = D +A Simplest POS /V = (A + )( + )( + D). V(a,b,c,d) = m (,,,3,6,8,9,,,4) = M (4,5,7,,3,5). W(a,b,c,d) = m (8,9,,,) + d(,,,3,4,5) = M (3,4,5,6,7) D(,,,3,4,5) X(a,b,c,d) = m (7,8) + d(,,,3,4,5) = M (3,4,5,6,9,,,) D(,,,3,4,5) Y(a,b,c,d) = m (5,6,7,8,9,) + d(,,,3,4,5) = M (3,4,,) D(,,,3,4,5) Z(a,b,c,d) = m (4,5,,) + d(,,,3,4,5) = M (3,6,7,8,9,) D(,,,3,4,5) 3

9 Answers to hapter 5 Problems. (a) m (,,4,5,6) (b) m (-7,,,3,4) (c) m (-5,7-) (d) m (,,3,6,7,,4,6-,,4,6,8,3). (a) M (,4,5,7,8,-3,5) (b) M (,3,6-5,7,9,,3,4,5,7,8,9) 3. (a) Distinguished -cell: 3,5,8,4 Prime implicant: A D (3,7), A D (8,), D (5,7,3,5), A (,3,4,5) (b) Distinguished -cell: 4,6,,3 Prime implicant: (,3,5,7), (4,5,6,7), (,5,9,3), (,3,9,) (c) Distinguished -cell:,9,,5 Prime implicant: (,,8,), (,,8,9), (,5), (5,7), (7,5) (d) Distinguished -cell: 5,8,9,4 Prime implicant: (5,7), (,6,,4), (8,9,,), (,3,6,7), (,3,,) 4. (a) Distinguished -cell: 4,6 Prime implicate: (,,4,6), (,), (,9), (9,), (,), (,) (b) Distinguished -cell:,,,5 Prime implicate: (,,8,), (8,,,4), (4,5) (c) Distinguished -cell: 3,4,6,,3,4 Prime implicate: (3,), (4,6,,4), (,3) (d) Distinguished -cell:,5 Prime implicate: (,), (3,5), (,4), (4,), (,3) 5. (a) A D + A D + D + A (b) A + D + D (c) D + + D + A D or D + + D + A D (d) A D + D + A + or A D + D + A + A 6. (a) (A+D)(++D )(A ++ ) (b) (+D)(A +D)(A + + ) (c) (+ +D )( +D)(A + +) (d) (A++)(A + +D )( ++D) 7. (a) Distinguished -cell: 3,5,8,4,,3,6 Prime implicant: (,3,5,7,7,9,,3), (6,7), (6,4), (8,9,4,5), (,9,7,5), (7,9,5,7), (4,5,6,7) (b) Distingusished -cell:,4,,7 Prime implicant: (,5,9,3), (4,5), (5,7,3,5), (8,,6,3), (,3,3,3), (5,7), (6,7,3,3), (,9,7,5), (,,8,6), (7,5,3,3) 3

10 8. (a) E + D + A DE + A (b) A D + DE + D E + A E + AD + AD 9. (a) (++E)( +D+E)( + +E )(A + +E)(A+ ++D ) (b) (A++D +E )(A+ +D +E)(++D +E )(+D+E)(A + +D)( +D+E). f = A + AD + A f = A D + A + AD + A f 3 = A D + A + AD + A. (a) D + D + AD or D + D + A (b) + A (c) + A D E + D E + ADE. (a) f(a,,,d) = m (,,3,6,7,,4) (b) f(a,,,d) = m (,,3,4,5,7,,3) 3. (a) f = f D = m (9,,3,5) m (7,5) = m (7,9,,3) (b) f = f A D = m (,3,6,7) m (5,7) = m (,3,5,6) (c) f = f D = m (8,9,,,,3,4,5) m (7,5) = m (7,8,9,,,,3,4) (d) f = f D = m (,,4,5,8,9,,3) m (5,7,3,5) = m (,,4,7,8,9,,5) 4. (a) A + A D + A D = A D (b) A + A D + A D = A A D (c) A + A + AD + A D = A D (d) A + AD +A D = A D 5. A F D = F D = F D = F D = (a) F = = A, F = a = A + D, F = = A, F = = AD (b) F = = A, F = a =, F = = A, F = = AD 3

11 7. (a) F ADE = =, F ADE = = +, F ADE = =, F ADE = =, F ADE = =, F ADE = =, F ADE = =, F ADE = = (b) F ADE = =, F ADE = =, F ADE = =, F ADE = =, F ADE = =, F ADE = =, F ADE = =, F ADE = = 33

12 Answers to hapter 6 Problems. (a), (b) f = A + + A D = [ (A ) ( ) (A D) ] (c) f = (A + + ) ( + ) (A + ) ( + + D) or (A + + ) ( + ) (A + ) (A + + D) (d) f = [ (A + + ) + ( + ) + (A + ) + ( + + D)] or [ (A + + ) + ( + ) + (A + ) + (A + + D)] (e) f = ( A + + A + D ) or ( A + + A + A D ). (a), (b) f = + A D + AE + D E = [ ( ) (A D) (AE) (D E) ] (c) f = (A + + ) ( + + D) (A D ) (A + + E) ( + D + E) (d) f = [(A + + ) +( + + D) +(A D ) +(A + + E) +( + D + E)] (e) f = ( A + D + A D + AE + D E ) 3. (b) F = m (7,,3,4,5) (c) F = M (-6,8,9,,) (d) F = A'D + A'D + A'D + AD' + AD = D + AD + AD + A + AD = D + AD + AD + A (e) F = (A + ) (A + ) (A + D) ( + ) ( + D) ( + D) (f) F' = [ (A + ) (A + ) (A + D) ( + ) ( + D) ( + D) ]' = (A + )' + (A + )' + (A + D)' + ( + )' + ( + D)' + ( + D)' = A'' + A'' + A'D' + '' + 'D' + 'D' (g) F' = [ D + AD + AD + A ]' = (D)' (AD)' (AD)' (A)' = ( A' + ' + ') ( A' + ' + D') ( A' + ' + D' ) ( ' + ' + D' ) (h) From (d), F = [ (A)' (AD)' (AD)' (D)' ]' (i) From (e), F = [(A + ) + (A + ) + (A + D) + ( + )' + ( + D)' + ( + D)' ]' (j) From (f), F' = [ (A'')' (A'')' (A'D')' ('')' ('D')' ('D')' ]' (k) From (g), F' = [(A' + ' + ')' +(A' + ' + D')' + (A' + ' + D')' + (' + ' + D')']' (l) From (f), F = ( A'' + A'' + A'D' + '' + 'D' + 'D' )' (m) From (d), F' = ( A + AD + AD + D )' 4. (a) f = ( A D + + D + A D ) (b) f = ( A D ) ( ) ( D ) ( A D ) (c) f = ( + D ) (A + + ) (A + + D) f = [ ( + D ) (A + + ) (A + + D) ] (d) f = ( + D ) + (A + + ) + (A + + D) 5. (a) f = + A D + DE + ADE (b) f = [ (A D ) (DE) (ADE ) ] (c) f = (A + + D + E) (A + + D) ( + + E ) (A + + ) (d) f = [ (A + + D + E) + (A + + D) + ( + + E ) + (A + + ) ] (e) f = ( A DE + AD + E + A ) 34

13 (f) f = ( A DE ) (AD ) ( E) (A ) (g) f = [ (A + + D) ( + D + E ) (A + D + E) ] (h) f = + (A + + D) + ( + D + E ) + (A + D + E) 6. (a) f(a,,,d) = A (D + ) + A ( + D) D ' D' A ' A' f (b) f(a,,,d) = A + A + A D = A ( + ) + A D A D ' f ' ' A' 7. (a) f(a,,,d) = (A++)(A+ +D)(A + +D)(A + +D ) = [A + ( + )( + D)] [A + ( + D)( + D )] ' D ' D ' D' A A' f (b) f(a,,,d,e) = (A++)(A +D)(A + )= (A++)(A + D) D' A A' f 35

14 8. (a) f(a,,,d) = A D + A + A + A D = D(A +A ) + (A + A ) = D (A ) + (A ) (b) f(a,,,d) = A + A + D + D = (A ) + D ( ) D A f A f D (a) (b) 9. EQ = (a b ) (a b ) GT = a b + (a b ) a b LT = a b + (a b ) a b. EQ = (a b ) (a b ) (a b ) GT = a b + (a b ) a b + (a b ) (a b ) a b LT = a b + (a b ) a b + (a b ) (a b ) a b 36

15 Answers to hapter 7 Problems. D D 7 : Output of 3-to-8 decoder D D 5 : Output of 4-to-6 decoder Logic Logic W Logic /E X Y Z X Y Z /E /E D D 7 (3-to-8) / D 8 D 5 8 D D 7 (3-to-8) / D D 7 8. A D D D D 3 D 4 D 5 D 6 D 7 A I /E I /E 3 3 D D 3 D 5 D 7 D D D 4 D 6 3. /d (b 3,b,b,b ) = M D(-5) = b 3 + b + b + b /d (b 3,b,b,b ) = M D(-5) = b 3 + b + b + b /d (b 3,b,b,b ) = M D(-5) = b + b + b /d 3 (b 3,b,b,b ) = M 3 D(-5) = b + b + b /d 4 (b 3,b,b,b ) = M 4 D(-5) = b + b + b /d 5 (b 3,b,b,b ) = M 5 D(-5) = b + b + b /d 6 (b 3,b,b,b ) = M 6 D(-5) = b + b + b /d 7 (b 3,b,b,b ) = M 7 D(-5) = b + b + b /d 8 (b 3,b,b,b ) = M 8 D(-5) = b 3 + b /d 9 (b 3,b,b,b ) = M 9 D(-5) = b 3 + b 4. F(A,,) = (A + )(A + ) = = m (3, 7) 5. (a) F(A,,) = m (3, 4, 6, 7) = (D + D + D + D 5 ) (b) F(A,,) = m (,, 5, 7) = (D + D 3 + D 4 + D 6 ) 6. (a) f(a,,,d) = m (,,3,4,5,6,8,9,,,4) = D + A + A + A 37

16 A D f 7. A F F 8. y = a 3 + a y = (a 3 + a )(a 3 + a ) /Idle = a 3 + a + a + a a 3 a y y a /Idle a 9. F = W X + W Y + WXZ + VW + VZ. A = w x = (wx) = w + x = (y+z)(y +z) = z D = y +z F = u w x + u vw + u vx + uv z + uvy + uvz 38

17 . I I I I 3 I 4 I 5 I 6 I 7 A Y. f = A D (I ) + A D(I ) + AD (I ) + AD(I 3 ) = A D ( + E) + A D() + AD ( + E) + AD( + E) = A D + A D E + A D + A D + A D E + AD + A DE = A + A D E + A D + AD + A E = A + A D E + D + D + A E = A + A D E + D + D + A E + A D E = A + D E + D + D + A E or f = A D + A D E + A D + A D + A D E + AD + A DE = m (,4-7,-5,7,9,,,5,7,3,3) = A + D E + D + D + A E 3. I = A +, I = A ( + E), I =, I 3 = A + A E 4. I = A, I = (A + )(A + ), I = A +, I 3 = (Three -input gates.) 39

18 Answers to hapter 8 Problems. 4-bit D inputs: A,,, D 4-bit excess-3 outputs: W, X, Y, Z W = m (5,6,7,8,9) + d(-5) X = m (,,3,4,9) + d(-5) Y = m (,3,4,7,8) + d(-5) Z = m (,,4,6,8) + d(-5) X X X X X 3 X X 4 X X X A 3 5 X 6 X X 7 X X 8 X X X 9 X X D W X Y Z. W = m (,4,6,8,,,4,5) X = m (,,4,5,6,7,8,9,,,4,5) Y = m (,,4,5,,5) Z = m (,,3,4,5,6,7,8,9,,,4,5) X X X X X X X 3 X 4 X X X X A 3 5 X X X 6 X X X 7 X X 8 X X X 9 X X D X X X X X X X 3 4 X X X 5 X X X X W X Y Z 4

19 3. A D f = A D + D + AD f = A + A D + AD X X X X X X X X X X X X X X f 3 = D + A D X X X X X X X X X X X f 4 = A + D X X X f f f 3 f 4 4. A D X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X W X Y Z 5. f = A D + D + AD f = A + A D + AD f 3 = D + f 4 = A + D 6. V = A + + (Use two -input OR) W = D + D + AD (Use one 4-input OR) X = D + A + + A D (Use one 4-input OR) Y = A + AD (Use one -input OR) Z = X + A D (Use one -input OR) 4

20 Answers to hapter 9 Problems. Q = D = Q = Q = T = Q = (c), Q = JK =, Q =,,. haracteristic equation Q + = M Q + N Q, MN =, Q =, Q =, 3. haracteristic table for xy latch. Present inputs x y Present state Q Next state Q + haracteristic equation Q + = x + yq Necessary condition x + y = d d, xy = Q = Q =, 4

21 4. clock /preset /clear D Q 5. clock /preset /clear J K Q 43

22 Answers to hapter Problems.. 3. Q Q Present Next state, z State x = x = A A,, A, D, A,, D A,, Q Q Present Next state, z State x = x = A A, D,,, D, A, D,, Q Q Present Next state state x = x = Z A D A D A A D D 4. D = Q 3 D = Q D = Q D 3 = Q 5. D 3 = x Q Q Q + x Q Q D = x Q Q + x Q Q + x Q Q + x Q D = x Q z = Q Q + x Q Q + x Q 6. (a) D = x D = Q z = Q Q (b) J = x, K = x J = y K = y z = y y 7. (a) D = x Q D = (x + Q + Q )(x + Q + Q )(x + Q + Q ) z = x Q Q (b) T = x Q Q + xq + Q Q T = x Q + xq Q z = x Q Q (c) J = x Q K = x + Q J = x Q K = x Q z = x Q Q 44

23 8. J = x K = x J = xq Q K = Q J = x Q + Q Q K = x + Q z = Q Q + xq + x Q Q Q + xq Q 9. A/ / E/ D/ /. y y Y Y x = x = Z A D D = xy + y y D = x Z = y y. y y A Unuse d Y Y, Z x = x =,,,,,, d d, d d d, d D = xy D = x Z = x y. Presen Next state y y y t state x = x = Z A A A D D E E E F F E A T = x y y y + xy y T = x(y y ) + x y y T = y ( x y ) Z = y 45

24 Answers to hapter Problems. (a) (b) (c) (d) (e) (f). (a) 3 (b) +96 (c) (d) 6 (e) 8 (f) (a) (b) (c) (d) (e) (f) 4. (a) A = = +85 = = + A = = 85 = = A + = + = 85 + = +95 A = + = () 85 = +75 (it in parentheses discarded) A + = + = 85 + = 75 A = + = () 85 = 95 (b) A = = +7 = = +4 A = = 7 = = 4 A + = + = = +49 The greatest positive number for 8-bit signed number is +7. The result of A+ in 's complement arithmetic is a negative number, which is an overflow. A = + = () 7 4 = +65 A + = + = = 65 A = + = () 7 4 = 49 The smallest negative number for 8-bit signed number is 8. The result of A in 's complement arithmetic is a positive number, which is an overflow. (c) A = = - = = +47 A = = + = = 47 A + = + = () + 47 = +5 A = + = () 47 = 69 A + = + = + 47 = +69 A = + = 47 = 5 (d) A = = 8 = = +7 A = = (?) = = 7 A + = + = = A = + = () 8 7 = 55 ( can't do A + & A because A = +8 can't be represented by 8 bits.) 46

25 5. 6. RESET S A Z = X? X? Z = Z = Z = S X? Z = Z = X? Z = X? S4 D Z = X? X? Z = Z = E Z = S5 X? Z = X? Z = S3 X? Z = Z = S X? Z = Z = 47

26 7. y 3 = T + T + T = T 3 y = X T + X T + X T 3 = X ( T +T + T 3 ) = X T y = X T + T 3 y = X T + X T + X T + T 3 = X ( T +T + T ) + T 3 = X T 3 + T 3 = X + T D = Q = x (Q + Q 5 ) D = Q = x Q + D = Q = Q + + D 3 = Q 3 = x (Q + Q 4 ) D 4 = Q 4 = Q 3 + D 5 = Q 5 = x (Q + Q 4 + Q 5 ) (Refer to Figure. for RESET.) 9.,, A, A, A +, A, A, A +. (a) (b) (c) (d) (e) (f) (g) (h) 48

1. Expand each of the following functions into a canonical sum-of-products expression.

1. Expand each of the following functions into a canonical sum-of-products expression. CHAPTER 4 PROLEMS 1. Expand each of the following functions into a canonical sum-of-products expression. (a) F(x, y, z) = xy + y z + x (b) F(w, x, y, z) = x y + wxy + w yz (c) F(A,,C,D) = AC + CD + C D

More information

CHAPTER 2 BOOLEAN ALGEBRA

CHAPTER 2 BOOLEAN ALGEBRA CHAPTER 2 BOOLEAN ALGEBRA This chapter in the book includes: Objectives Study Guide 2.1 Introduction 2.2 Basic Operations 2.3 Boolean Expressions and Truth Tables 2.4 Basic Theorems 2.5 Commutative, Associative,

More information

Advanced Digital Design with the Verilog HDL, Second Edition Michael D. Ciletti Prentice Hall, Pearson Education, 2011

Advanced Digital Design with the Verilog HDL, Second Edition Michael D. Ciletti Prentice Hall, Pearson Education, 2011 Problem 2-1 Recall that a minterm is a cube in which every variable appears. A Boolean expression in SOP form is canonical if every cube in the expression has a unique representation in which all of the

More information

ECE 238L Boolean Algebra - Part I

ECE 238L Boolean Algebra - Part I ECE 238L Boolean Algebra - Part I August 29, 2008 Typeset by FoilTEX Understand basic Boolean Algebra Boolean Algebra Objectives Relate Boolean Algebra to Logic Networks Prove Laws using Truth Tables Understand

More information

Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University

Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University Lecture 6: Gate Level Minimization Syed M. Mahmud, Ph.D ECE Department Wayne State University Original Source: Aby K George, ECE Department, Wayne State University Contents The Map method Two variable

More information

Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions

Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions EE210: Switching Systems Lecture 5: NAND, NOR and XOR Gates, Simplification of Algebraic Expressions Prof. YingLi Tian Feb. 15, 2018 Department of Electrical Engineering The City College of New York The

More information

UNIT 5 KARNAUGH MAPS Spring 2011

UNIT 5 KARNAUGH MAPS Spring 2011 UNIT 5 KRNUGH MPS Spring 2 Karnaugh Maps 2 Contents Minimum forms of switching functions Two- and three-variable Four-variable Determination of minimum expressions using essential prime implicants Five-variable

More information

Homework Solution #1. Chapter 2 2.6, 2.17, 2.24, 2.30, 2.39, 2.42, Grading policy is as follows: - Total 100

Homework Solution #1. Chapter 2 2.6, 2.17, 2.24, 2.30, 2.39, 2.42, Grading policy is as follows: - Total 100 Homework Solution #1 Chapter 2 2.6, 2.17, 2.24, 2.30, 2.39, 2.42, 2.48 Grading policy is as follows: - Total 100 Exercise 2.6 (total 10) - Column 3 : 5 point - Column 4 : 5 point Exercise 2.17 (total 25)

More information

CSE 140L Spring 2010 Lab 1 Assignment Due beginning of the class on 14 th April

CSE 140L Spring 2010 Lab 1 Assignment Due beginning of the class on 14 th April CSE 140L Spring 2010 Lab 1 Assignment Due beginning of the class on 14 th April Objective - Get familiar with the Xilinx ISE webpack tool - Learn how to design basic combinational digital components -

More information

Chapter 4: Combinational Logic Solutions to Problems: [1, 5, 9, 12, 19, 23, 30, 33]

Chapter 4: Combinational Logic Solutions to Problems: [1, 5, 9, 12, 19, 23, 30, 33] Chapter 4: Combinational Logic Solutions to Problems: [, 5, 9, 2, 9, 23, 3, 33] Problem: 4- Consider the combinational circuit shown in Fig. P4-. (a) Derive the Boolean expressions for T through T 4. Evaluate

More information

Systems I: Computer Organization and Architecture

Systems I: Computer Organization and Architecture Systems I: Computer Organization and Architecture Lecture 6 - Combinational Logic Introduction A combinational circuit consists of input variables, logic gates, and output variables. The logic gates accept

More information

DIGITAL LOGIC DESIGN

DIGITAL LOGIC DESIGN DIGITAL LOGIC DESIGN NUMBERS SYSTEMS AND CODES Any number in one base system can be converted into another base system Types 1) decimal to any base 2) Any base to decimal 3) Any base to Any base Complements

More information

Number System conversions

Number System conversions Number System conversions Number Systems The system used to count discrete units is called number system. There are four systems of arithmetic which are often used in digital electronics. Decimal Number

More information

Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps

Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps EE210: Switching Systems Lecture 6: Manipulation of Algebraic Functions, Boolean Algebra, Karnaugh Maps Prof. YingLi Tian Feb. 21/26, 2019 Department of Electrical Engineering The City College of New York

More information

KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE

KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE Estd-1984 KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE 641 006 QUESTION BANK UNIT I PART A ISO 9001:2000 Certified 1. Convert (100001110.010) 2 to a decimal number. 2. Find the canonical SOP for the function

More information

Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE

Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE Boolean Algebra and Logic Design (Class 2.2 1/24/2013) CSE 2441 Introduction to Digital Logic Spring 2013 Instructor Bill Carroll, Professor of CSE Today s Topics Boolean algebra applications in logic

More information

Fundamentals of Boolean Algebra

Fundamentals of Boolean Algebra UNIT-II 1 Fundamentals of Boolean Algebra Basic Postulates Postulate 1 (Definition): A Boolean algebra is a closed algebraic system containing a set K of two or more elements and the two operators and

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 2 Circuit Optimization Goal: To obtain the simplest implementation for a given function Optimization is a more formal

More information

Total Time = 90 Minutes, Total Marks = 100. Total /10 /25 /20 /10 /15 /20

Total Time = 90 Minutes, Total Marks = 100. Total /10 /25 /20 /10 /15 /20 University of Waterloo Department of Electrical & Computer Engineering E&CE 223 Digital Circuits and Systems Midterm Examination Instructor: M. Sachdev October 30th, 2006 Total Time = 90 Minutes, Total

More information

Unit 2 Session - 6 Combinational Logic Circuits

Unit 2 Session - 6 Combinational Logic Circuits Objectives Unit 2 Session - 6 Combinational Logic Circuits Draw 3- variable and 4- variable Karnaugh maps and use them to simplify Boolean expressions Understand don t Care Conditions Use the Product-of-Sums

More information

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr.

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr. Chapter 4 Dr. Panos Nasiopoulos Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. Sequential: In addition, they include storage elements Combinational

More information

Signals and Systems Digital Logic System

Signals and Systems Digital Logic System Signals and Systems Digital Logic System Prof. Wonhee Kim Chapter 2 Design Process for Combinational Systems Step 1: Represent each of the inputs and outputs in binary Step 1.5: If necessary, break the

More information

UNIT 3 BOOLEAN ALGEBRA (CONT D)

UNIT 3 BOOLEAN ALGEBRA (CONT D) UNIT 3 BOOLEAN ALGEBRA (CONT D) Spring 2011 Boolean Algebra (cont d) 2 Contents Multiplying out and factoring expressions Exclusive-OR and Exclusive-NOR operations The consensus theorem Summary of algebraic

More information

Chap 2. Combinational Logic Circuits

Chap 2. Combinational Logic Circuits Overview 2 Chap 2. Combinational Logic Circuits Spring 24 Part Gate Circuits and Boolean Equations Binary Logic and Gates Boolean Algebra Standard Forms Part 2 Circuit Optimization Two-Level Optimization

More information

Karnaugh Maps Objectives

Karnaugh Maps Objectives Karnaugh Maps Objectives For Karnaugh Maps of up to 5 variables Plot a function from algebraic, minterm or maxterm form Obtain minimum Sum of Products and Product of Sums Understand the relationship between

More information

Gate-Level Minimization

Gate-Level Minimization Gate-Level Minimization Dr. Bassem A. Abdullah Computer and Systems Department Lectures Prepared by Dr.Mona Safar, Edited and Lectured by Dr.Bassem A. Abdullah Outline 1. The Map Method 2. Four-variable

More information

ELC224C. Karnaugh Maps

ELC224C. Karnaugh Maps KARNAUGH MAPS Function Simplification Algebraic Simplification Half Adder Introduction to K-maps How to use K-maps Converting to Minterms Form Prime Implicants and Essential Prime Implicants Example on

More information

CHAPTER 7. Exercises 17/ / /2 2 0

CHAPTER 7. Exercises 17/ / /2 2 0 CHAPTER 7 Exercises E7. (a) For the whole part, we have: Quotient Remainders 23/2 /2 5 5/2 2 2/2 0 /2 0 Reading the remainders in reverse order, we obtain: 23 0 = 0 2 For the fractional part we have 2

More information

Review for Test 1 : Ch1 5

Review for Test 1 : Ch1 5 Review for Test 1 : Ch1 5 October 5, 2006 Typeset by FoilTEX Positional Numbers 527.46 10 = (5 10 2 )+(2 10 1 )+(7 10 0 )+(4 10 1 )+(6 10 2 ) 527.46 8 = (5 8 2 ) + (2 8 1 ) + (7 8 0 ) + (4 8 1 ) + (6 8

More information

LESSON 7.1 FACTORING POLYNOMIALS I

LESSON 7.1 FACTORING POLYNOMIALS I LESSON 7.1 FACTORING POLYNOMIALS I LESSON 7.1 FACTORING POLYNOMIALS I 293 OVERVIEW Here s what you ll learn in this lesson: Greatest Common Factor a. Finding the greatest common factor (GCF) of a set of

More information

/ M Morris Mano Digital Design Ahmad_911@hotmailcom / / / / wwwuqucscom Binary Systems Introduction - Digital Systems - The Conversion Between Numbering Systems - From Binary To Decimal - Octet To Decimal

More information

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3 . What is a multiplexer? esign a 4 to multiplexer using logic gates. Write the truth table and explain its working principle. Answer: is a circuit with many inputs but only one output. esigning of 4 to

More information

COE 202: Digital Logic Design Combinational Circuits Part 4. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Combinational Circuits Part 4. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Combinational Circuits Part 4 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Magnitude comparator Design of 4-bit magnitude comparator

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

Karnaugh Map & Boolean Expression Simplification

Karnaugh Map & Boolean Expression Simplification Karnaugh Map & Boolean Expression Simplification Mapping a Standard POS Expression For a Standard POS expression, a 0 is placed in the cell corresponding to the product term (maxterm) present in the expression.

More information

Lecture 7: Karnaugh Map, Don t Cares

Lecture 7: Karnaugh Map, Don t Cares EE210: Switching Systems Lecture 7: Karnaugh Map, Don t Cares Prof. YingLi Tian Feb. 28, 2019 Department of Electrical Engineering The City College of New York The City University of New York (CUNY) 1

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

More information

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function Question Paper Digital Electronics (EE-204-F) MDU Examination May 2015 1. (a) represent (32)10 in (i) BCD 8421 code (ii) Excess-3 code (iii) ASCII code (b) Design half adder using only NAND gates. ( c)

More information

CHAPTER III BOOLEAN ALGEBRA

CHAPTER III BOOLEAN ALGEBRA CHAPTER III- CHAPTER III CHAPTER III R.M. Dansereau; v.. CHAPTER III-2 BOOLEAN VALUES INTRODUCTION BOOLEAN VALUES Boolean algebra is a form of algebra that deals with single digit binary values and variables.

More information

Digital Logic Design. Combinational Logic

Digital Logic Design. Combinational Logic Digital Logic Design Combinational Logic Minterms A product term is a term where literals are ANDed. Example: x y, xz, xyz, A minterm is a product term in which all variables appear exactly once, in normal

More information

Total Time = 90 Minutes, Total Marks = 50. Total /50 /10 /18

Total Time = 90 Minutes, Total Marks = 50. Total /50 /10 /18 University of Waterloo Department of Electrical & Computer Engineering E&CE 223 Digital Circuits and Systems Midterm Examination Instructor: M. Sachdev October 23rd, 2007 Total Time = 90 Minutes, Total

More information

Save from: cs. Logic design 1 st Class أستاذ المادة: د. عماد

Save from:   cs. Logic design 1 st Class أستاذ المادة: د. عماد Save from: www.uotiq.org/dep cs Logic design 1 st Class أستاذ المادة: د. عماد استاذة المادة: م.م ميساء Contents Lectured One: Number system operation 1- Decimal numbers. 2- Binary numbers. 3- Octal numbers.

More information

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 2 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 2 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN Week 2 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering Boolean Algebra Boolean Algebra A Boolean algebra is defined with: A set of

More information

Outcomes. Spiral 1 / Unit 5. Logic Function Synthesis KARNAUGH MAPS. Karnaugh Maps

Outcomes. Spiral 1 / Unit 5. Logic Function Synthesis KARNAUGH MAPS. Karnaugh Maps -. -. Spiral / Unit Mark Redekopp Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at least technique to improve

More information

Spiral 1 / Unit 5. Karnaugh Maps

Spiral 1 / Unit 5. Karnaugh Maps -. Spiral / Unit Karnaugh Maps -. Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at least technique to improve

More information

CHAPTER 3 BOOLEAN ALGEBRA

CHAPTER 3 BOOLEAN ALGEBRA CHAPTER 3 BOOLEAN ALGEBRA (continued) This chapter in the book includes: Objectives Study Guide 3.1 Multiplying Out and Factoring Expressions 3.2 Exclusive-OR and Equivalence Operations 3.3 The Consensus

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev E&CE 223 Digital Circuits & Systems Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean Algebra & Logic Gates Major topics Boolean algebra NAND & NOR gates Boolean

More information

Outcomes. Spiral 1 / Unit 5. Logic Function Synthesis KARNAUGH MAPS. Karnaugh Maps

Outcomes. Spiral 1 / Unit 5. Logic Function Synthesis KARNAUGH MAPS. Karnaugh Maps -. -. Spiral / Unit Mark Redekopp Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at least technique to improve

More information

Optimizations and Tradeoffs. Combinational Logic Optimization

Optimizations and Tradeoffs. Combinational Logic Optimization Optimizations and Tradeoffs Combinational Logic Optimization Optimization & Tradeoffs Up to this point, we haven t really considered how to optimize our designs. Optimization is the process of transforming

More information

APPLİCATION OF BOOLEAN ALGEABRA

APPLİCATION OF BOOLEAN ALGEABRA APPLİCATION OF BOOLEAN ALGEABRA CHAPTER 4 Prof. Dr. Mehmet Akbaba Prof. Mehmet Akbaba Digital Logic 1 Multiplying Out and Factoring Expressions Given an expression in product-of-sums form, the corresponding

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT2: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 4 Following the slides of Dr. Ahmed H. Madian محرم 439 ه Winter 28

More information

EE 209 Spiral 1 Exam Solutions Name:

EE 209 Spiral 1 Exam Solutions Name: EE 29 Spiral Exam Solutions Name:.) Answer the following questions as True or False a.) A 4-to- multiplexer requires at least 4 select lines: true / false b.) An 8-to- mux and no other logic can be used

More information

Algebraic Expressions

Algebraic Expressions Algebraic Expressions 1. Expressions are formed from variables and constants. 2. Terms are added to form expressions. Terms themselves are formed as product of factors. 3. Expressions that contain exactly

More information

Lecture 2 Review on Digital Logic (Part 1)

Lecture 2 Review on Digital Logic (Part 1) Lecture 2 Review on Digital Logic (Part 1) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Grading Engagement 5% Review Quiz 10% Homework 10% Labs 40%

More information

Combinational Logic. Course Instructor Mohammed Abdul kader

Combinational Logic. Course Instructor Mohammed Abdul kader Combinational Logic Contents: Combinational and Sequential digital circuits. Design Procedure of combinational circuit. Adders: Half adder and Full adder. Subtractors: Half Subtractor and Full Subtractor.

More information

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates

E&CE 223 Digital Circuits & Systems. Lecture Transparencies (Boolean Algebra & Logic Gates) M. Sachdev. Section 2: Boolean Algebra & Logic Gates Digital Circuits & Systems Lecture Transparencies (Boolean lgebra & Logic Gates) M. Sachdev 4 of 92 Section 2: Boolean lgebra & Logic Gates Major topics Boolean algebra NND & NOR gates Boolean algebra

More information

CHAPTER 7. Solutions for Exercises

CHAPTER 7. Solutions for Exercises CHAPTER 7 Solutions for Exercises E7.1 (a) For the whole part we have: Quotient Remainders 23/2 11 1 11/2 5 1 5/2 2 1 2/2 1 0 1/2 0 1 Reading the remainders in reverse order we obtain: 23 10 = 10111 2

More information

2 Application of Boolean Algebra Theorems (15 Points - graded for completion only)

2 Application of Boolean Algebra Theorems (15 Points - graded for completion only) CSE140 HW1 Solution (100 Points) 1 Introduction The purpose of this assignment is three-fold. First, it aims to help you practice the application of Boolean Algebra theorems to transform and reduce Boolean

More information

Chapter 7 Logic Circuits

Chapter 7 Logic Circuits Chapter 7 Logic Circuits Goal. Advantages of digital technology compared to analog technology. 2. Terminology of Digital Circuits. 3. Convert Numbers between Decimal, Binary and Other forms. 5. Binary

More information

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times.

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times. S.E. Sem. III [ETRX] Digital ircuit Design Time : 3 Hrs.] Prelim Paper Solution [Marks : 80. Solve following : [20].(a) Explain characteristics of logic families. [5] haracteristics of logic families are

More information

ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN VALUES

ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN VALUES EC 216(R-15) Total No. of Questions :09] [Total No. of Pages : 02 II/IV B.Tech. DEGREE EXAMINATIONS, DECEMBER- 2016 First Semester ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN

More information

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept.

Chapter 2: Princess Sumaya Univ. Computer Engineering Dept. hapter 2: Princess Sumaya Univ. omputer Engineering Dept. Basic Definitions Binary Operators AND z = x y = x y z=1 if x=1 AND y=1 OR z = x + y z=1 if x=1 OR y=1 NOT z = x = x z=1 if x=0 Boolean Algebra

More information

UNIT 4 MINTERM AND MAXTERM EXPANSIONS

UNIT 4 MINTERM AND MAXTERM EXPANSIONS UNIT 4 MINTERM AND MAXTERM EXPANSIONS Spring 2 Minterm and Maxterm Expansions 2 Contents Conversion of English sentences to Boolean equations Combinational logic design using a truth table Minterm and

More information

INSTITUTEOFAERONAUTICALENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTEOFAERONAUTICALENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTEOFAERONAUTICALENGINEERING (Autonomous) Dundigal, Hyderabad - 50004 COMPUTER SCIENCE AND ENGINEERING TUTORIAL QUESTION BANK Course Name Course Code Class Branch DIGITAL LOGIC DESIGN A040 II B.

More information

Combinational Logic. By : Ali Mustafa

Combinational Logic. By : Ali Mustafa Combinational Logic By : Ali Mustafa Contents Adder Subtractor Multiplier Comparator Decoder Encoder Multiplexer How to Analyze any combinational circuit like this? Analysis Procedure To obtain the output

More information

Unit 3 Session - 9 Data-Processing Circuits

Unit 3 Session - 9 Data-Processing Circuits Objectives Unit 3 Session - 9 Data-Processing Design of multiplexer circuits Discuss multiplexer applications Realization of higher order multiplexers using lower orders (multiplexer trees) Introduction

More information

Nozha Directorate of Education Form : 2 nd Prep. Nozha Language Schools Ismailia Road Branch

Nozha Directorate of Education Form : 2 nd Prep. Nozha Language Schools Ismailia Road Branch Cairo Governorate Department : Maths Nozha Directorate of Education Form : 2 nd Prep. Nozha Language Schools Sheet Ismailia Road Branch Sheet ( 1) 1-Complete 1. in the parallelogram, each two opposite

More information

Chapter 2 Part 7 Combinational Logic Circuits

Chapter 2 Part 7 Combinational Logic Circuits University of Wisconsin - Madison EE/omp Sci 352 Digital Systems Fundamentals Kewal K. Saluja and u Hen Hu Spring 2002 hapter 2 Part 7 ombinational Logic ircuits Originals by: harles R. Kime and Tom Kamisnski

More information

Binary Logic and Gates. Our objective is to learn how to design digital circuits.

Binary Logic and Gates. Our objective is to learn how to design digital circuits. Binary Logic and Gates Introduction Our objective is to learn how to design digital circuits. These circuits use binary systems. Signals in such binary systems may represent only one of 2 possible values

More information

Contents. Chapter 3 Combinational Circuits Page 1 of 36

Contents. Chapter 3 Combinational Circuits Page 1 of 36 Chapter 3 Combinational Circuits Page of 36 Contents Combinational Circuits...2 3. Analysis of Combinational Circuits...3 3.. Using a Truth Table...3 3..2 Using a Boolean Function...6 3.2 Synthesis of

More information

Chapter 2. Digital Logic Basics

Chapter 2. Digital Logic Basics Chapter 2 Digital Logic Basics 1 2 Chapter 2 2 1 Implementation using NND gates: We can write the XOR logical expression B + B using double negation as B+ B = B+B = B B From this logical expression, we

More information

CSCI 220: Computer Architecture I Instructor: Pranava K. Jha. Multiplexers

CSCI 220: Computer Architecture I Instructor: Pranava K. Jha. Multiplexers CSCI : Computer Architecture I Instructor: Pranava K. Jha Multiplexers Q. Develop a Boolean expression for the function F in terms of the input variables v, w, x, y and z in the following circuit. (E is

More information

MC9211 Computer Organization

MC9211 Computer Organization MC92 Computer Organization Unit : Digital Fundamentals Lesson2 : Boolean Algebra and Simplification (KSB) (MCA) (29-2/ODD) (29 - / A&B) Coverage Lesson2 Introduces the basic postulates of Boolean Algebra

More information

Sample Test Paper - I

Sample Test Paper - I Scheme G Sample Test Paper - I Course Name : Computer Engineering Group Marks : 25 Hours: 1 Hrs. Q.1) Attempt any THREE: 09 Marks a) Define i) Propagation delay ii) Fan-in iii) Fan-out b) Convert the following:

More information

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I : BOOLEAN ALGEBRA AND LOGIC GATES PART - A (2 MARKS) Number

More information

Chapter 2 Combinational

Chapter 2 Combinational Computer Engineering 1 (ECE290) Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations HOANG Trang Reference: 2008 Pearson Education, Inc. Overview Part 1 Gate Circuits and Boolean

More information

vidyarthiplus.com vidyarthiplus.com vidyarthiplus.com ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A

More information

Combinational Logic Fundamentals

Combinational Logic Fundamentals Topic 3: Combinational Logic Fundamentals In this note we will study combinational logic, which is the part of digital logic that uses Boolean algebra. All the concepts presented in combinational logic

More information

Chapter 2: Switching Algebra and Logic Circuits

Chapter 2: Switching Algebra and Logic Circuits Chapter 2: Switching Algebra and Logic Circuits Formal Foundation of Digital Design In 1854 George Boole published An investigation into the Laws of Thoughts Algebraic system with two values 0 and 1 Used

More information

Combinational Logic. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C.

Combinational Logic. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Combinational Logic ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2010 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Combinational Circuits

More information

GCSE style questions arranged by topic

GCSE style questions arranged by topic Write your name here Surname ther names In the style of: Pearson Edexcel Level 1/Level 2 GCSE (9-1) Centre Number Mathematics Circle Theorems GCSE style questions arranged by topic Candidate Number Higher

More information

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS 1) Given the two binary numbers X = 1010100 and Y = 1000011, perform the subtraction (a) X -Y and (b) Y - X using 2's complements. a) X = 1010100

More information

Chapter 2: Boolean Algebra and Logic Gates

Chapter 2: Boolean Algebra and Logic Gates Chapter 2: Boolean Algebra and Logic Gates Mathematical methods that simplify binary logics or circuits rely primarily on Boolean algebra. Boolean algebra: a set of elements, a set of operators, and a

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Chapter 2 - Part 1 2 Chapter 2 - Part 1 3 Chapter 2 - Part 1 4 Chapter 2 - Part

More information

Answers. Chapter 9 A92. Angles Theorem (Thm. 5.6) then XZY. Base Angles Theorem (Thm. 5.6) 5, 2. then WV WZ;

Answers. Chapter 9 A92. Angles Theorem (Thm. 5.6) then XZY. Base Angles Theorem (Thm. 5.6) 5, 2. then WV WZ; 9 9. M, 0. M ( 9, 4) 7. If WZ XZ, then ZWX ZXW ; Base Angles Theorem (Thm..6). M 9,. M ( 4, ) 74. If XZ XY, then XZY Y; Base Angles Theorem (Thm..6). M, 4. M ( 9, ) 7. If V WZV, then WV WZ; Converse of

More information

Chapter 2 Boolean Algebra and Logic Gates

Chapter 2 Boolean Algebra and Logic Gates Ch1: Digital Systems and Binary Numbers Ch2: Ch3: Gate-Level Minimization Ch4: Combinational Logic Ch5: Synchronous Sequential Logic Ch6: Registers and Counters Switching Theory & Logic Design Prof. Adnan

More information

DIGITAL LOGIC CIRCUITS

DIGITAL LOGIC CIRCUITS DIGITAL LOGIC CIRCUITS Digital logic circuits BINARY NUMBER SYSTEM electronic circuits that handle information encoded in binary form (deal with signals that have only two values, and ) Digital. computers,

More information

ENEL Digital Circuit Design. Final Examination

ENEL Digital Circuit Design. Final Examination ELECTRICAL AND COMPUTER ENGINEERING ENEL 353 - Digital Circuit Design Final Examination Friday, December 17, 1999 Red Gymnasium, 3:30PM - 6:30 PM Instructions: Time allowed is 3 hours. The examination

More information

UNIVERSITI TENAGA NASIONAL. College of Information Technology

UNIVERSITI TENAGA NASIONAL. College of Information Technology UNIVERSITI TENAGA NASIONAL College of Information Technology BACHELOR OF COMPUTER SCIENCE (HONS.) FINAL EXAMINATION SEMESTER 2 2012/2013 DIGITAL SYSTEMS DESIGN (CSNB163) January 2013 Time allowed: 3 hours

More information

Chapter 2 Combinational Logic Circuits

Chapter 2 Combinational Logic Circuits Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part 1 Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. Overview Part 1 Gate

More information

Midterm Examination # 1 Wednesday, February 25, Duration of examination: 75 minutes

Midterm Examination # 1 Wednesday, February 25, Duration of examination: 75 minutes Page 1 of 10 School of Computer Science 60-265-01 Computer Architecture and Digital Design Winter 2009 Semester Midterm Examination # 1 Wednesday, February 25, 2009 Student Name: First Name Family Name

More information

Alg. (( Sheet 1 )) [1] Complete : 1) =.. 3) =. 4) 3 a 3 =.. 5) X 3 = 64 then X =. 6) 3 X 6 =... 7) 3

Alg. (( Sheet 1 )) [1] Complete : 1) =.. 3) =. 4) 3 a 3 =.. 5) X 3 = 64 then X =. 6) 3 X 6 =... 7) 3 Cairo Governorate Department : Maths Nozha Directorate of Education Form : 2 nd Prep. Nozha Language Schools Sheet Ismailia Road Branch [1] Complete : 1) 3 216 =.. Alg. (( Sheet 1 )) 1 8 2) 3 ( ) 2 =..

More information

ENG2410 Digital Design Combinational Logic Circuits

ENG2410 Digital Design Combinational Logic Circuits ENG240 Digital Design Combinational Logic Circuits Fall 207 S. Areibi School of Engineering University of Guelph Binary variables Binary Logic Can be 0 or (T or F, low or high) Variables named with single

More information

COE 202: Digital Logic Design Combinational Circuits Part 2. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Combinational Circuits Part 2. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Combinational Circuits Part 2 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Arithmetic Circuits Adder Subtractor Carry Look Ahead Adder

More information

a the relation arb is defined if and only if = 2 k, k

a the relation arb is defined if and only if = 2 k, k DISCRETE MATHEMATICS Past Paper Questions in Number Theory 1. Prove that 3k + 2 and 5k + 3, k are relatively prime. (Total 6 marks) 2. (a) Given that the integers m and n are such that 3 (m 2 + n 2 ),

More information

Digital Logic Appendix A

Digital Logic Appendix A Digital Logic Appendix A Boolean Algebra Gates Combinatorial Circuits Sequential Circuits 1 Boolean Algebra George Boole ideas 1854 Claude Shannon, apply to circuit design, 1938 Describe digital circuitry

More information

Computer Science Final Examination Friday December 14 th 2001

Computer Science Final Examination Friday December 14 th 2001 Computer Science 03 60 265 Final Examination Friday December 14 th 2001 Dr. Robert D. Kent and Dr. Alioune Ngom Last Name: First Name: Student Number: INSTRUCTIONS EXAM DURATION IS 3 HOURs. CALCULATORS,

More information

Solutions to Assignment No 5 Digital Techniques Fall 2007

Solutions to Assignment No 5 Digital Techniques Fall 2007 Solutions to Assignment No 5 Digital Techniques Fall 2007 André Deutz October 19, 2007 1 Simplifying and Manipulating Boolean Expressions 1. Simplification (a) Simplify each of the following expressions,

More information

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 1 Gate Circuits and Boolean Equations

Logic and Computer Design Fundamentals. Chapter 2 Combinational Logic Circuits. Part 1 Gate Circuits and Boolean Equations Logic and Computer Design Fundamentals Chapter 2 Combinational Logic Circuits Part Gate Circuits and Boolean Equations Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hperlinks are active in

More information

BOOLEAN ALGEBRA TRUTH TABLE

BOOLEAN ALGEBRA TRUTH TABLE BOOLEAN ALGEBRA TRUTH TABLE Truth table is a table which represents all the possible values of logical variables / statements along with all the possible results of the given combinations of values. Eg:

More information