Physics Procedia 00 (2011) TIPP Technology and Instrumentation for Particle Physics Development of Ultra-Thin GaAs Photocathodes

Size: px
Start display at page:

Download "Physics Procedia 00 (2011) TIPP Technology and Instrumentation for Particle Physics Development of Ultra-Thin GaAs Photocathodes"

Transcription

1 Physics Procedia 00 (2011) Physics Procedia TIPP Technology and Instrumentation for Particle Physics 2011 Development of Ultra-Thin GaAs Photocathodes Ryan Dowdy a,1, Klaus Attenkofer b, Henry Frisch b,c, Seon Woo Lee c, Xiuling Li a, Steve R. Ross c for the Large Area Photodetector Collaboration 2 a Department of Electrical and Computer Engineering, Micro and Nanotechnology Laboratory, University of Illinois at Urbana- Champaign, 208 N. Wright St, Urbana IL 61801, USA b Enrico Fermi Institute, University of Chicago, 5640 S. Ellis Ave., Chicago IL 60637, USA c Argonne Nationial Laboratory, 9700 S. Cass Ave., Argonne, IL 60439, USA Abstract An ultra thin and highly efficient photocathode structure is designed and optimized for the 400nm optical wavelength regime. The cathode thickness is comparable to the mean free path of the photoelectron allowing design concepts which are built on non-thermalized photoelectrons. Designs for ultra-low emittance and high quantum efficiency are proposed and first test structures are grown and characterized. Additionally, a discussion on the specifics of the transfer and bonding process of ultra-thin cathodes is presented Published by Elsevier BV. Selection and/or peer-review under responsibility of the organizing committee for TIPP 2011 Keywords: Photocathode; NEA; low emmittance; high quantum efficiency; device design; visible light photodetector, nonthermalized, electron momentum, GaAs L-valley, blue photocathode 1. Introduction GaAs based cathodes are widely used for photon detection and as electron sources for accelerator applications. They are typically optimized for the infrared (IR) regime but seldomly used in the blue and ultra violet spectral range. Commercial IR-GaAs transmission photocathodes show very poor quantum efficiency in the 400nm wavelength regime, have relatively high dark current, and are significantly more expensive to produce than standard alkali cathodes, usually used in this wavelength regime. However, the development of a transmission- GaAs-cathode, optimized for the blue wavelength range is an interesting opportunity to prove the wide wavelength tunability of this material class allowing multicolor response and sensitivity, the feasibility of new device design-concepts with unprecedented high quantum efficiency requiring well defined crystalline materials, and the capability to optimize band structure properties to achieve ultra-low emittance; a property which is of great advantage in high resolution imaging applications. The purpose of this work is to optimize a device structure with a thickness close to the mean free path length of the photoelectron, avoiding thermalization and randomization[1] of the carrier. Simulation and 1 rdowdy2@illinois.edu 2 Large Area Picosecond Photodetector Collaboration:

2 2 Author name / Physics Procedia 00 (2011) growth tools, typically used in semiconductor device development and production, allow us to optimize complex doping and band gap grading approaches to produce internal electrical fields which break the symmetry of the device, thus forcing the photoelectron towards the cathode surface. Consequently, electrons, originally heading the wrong way, can be still collected at the surface and emitted. The initial kinetic energy and gained kinetic energy in the potential gradient of carriers is used to overcome the surface barrier allowing reduction of the required negative electron affinity of the surface, and the dark current of the device. This paper summarizes our plans and progresses in developing these novel cathodes. It includes the design of the cathode structure, the growth of the materials, the bonding and thinning of the cathode, and the surface modification during the activation process. 2. The Design Concepts of Ultra-Thin Photocathodes Due to the negligible photon-momentum, propagation direction and kinetic energy of the photoelectron solely depend on the photon energy and the details of the band structure of the absorbing material. This effect is widely used for the development of GaAs photocathodes to create spin polarized electrons using spin polarized photons close to the absorption threshold [2]. Our goal is to apply similar design rules for a device structure which conserves the original photoelectron properties produced by a 400nm photon. The key to this approach is the Three-Step-Spicer model [3] predicting that the emission probability of the photoelectron is equal to the product of absorption, transport to the surface, and escape probability. Separation of the three processes allows us to describe the photoelectron properties after each stage of the model and correlate its fate with the structure of the device. The probability to absorb a photon and the properties of the photoelectron are widely different for the 800nm wavelength typically used for device optimization and the 400nm the wavelength range of interest for this work. An IR-photon (~1.5eV) is absorbed by valence electrons close to the -point, resulting in a vanishing momentum and kinetic energy of the photoelectron. This correlates to a carrier energy of about 1.4eV above the Fermi level expecting that the Fermi level is close to the top of the valence band[4]. According to Fermi s Golden rule, the weak absorption is a direct consequence of the large curvature of the band around the -point and the resulting low density of unoccupied states. The absorption length is in the order of micrometers requiring a cathode of this thickness to achieve maximum absorption. High energetic photons with wavelength around 400nm (3.1eV) will create photoelectrons which will mostly populate the L-valley. This corresponds to photoelectrons with a relatively well defined kinetic energy of about 0.3eV higher than conduction band electrons at the -point. They mainly propagate along the <111> or corresponding crystal direction. The extremely high density of states in comparison to the -point absorption explains the dramatic change of absorption length by nearly three orders of magnitude (20nm-40nm) [5] and consequently an optimum cathode thickness of only 50nm-100nm. The large difference in absorption cross-section provides an easy way to optimize the cathode for the two wavelength ranges. A thin 50nm-100nm thin cathode will be highly sensitive to 400nm but will only absorb the IR photons with 1% probability. On the other hand, blue photons will be predominantly absorbed in the IR-cathode at the entrance window. Strong scattering mechanisms with a mean free path of about 50nm-100nm, caused by ionized impurity scattering due to high dopant levels of the cathode (about cm -3 Zn-atoms)[6] and defect scattering caused by the bonding of the layer to glass will reduce the quantum efficiency significantly. Since the thickness of the thin blue cathode is comparable to the mean free path of the photoelectron[7], the photocathode can be optimized to preserve the properties of the original photoelectron. This gives interesting possibilities to tailor its emittance and timing properties which cannot be done for thick IR cathodes.

3 Author name / Physics Procedia 00 (2011) Due to the standard activation process[8, 9], most GaAs photocathodes have a crystal orientation with a GaAs(001) surface with a reconstructed surface which is Ga terminated. As described above, the photoelectron will propagate mainly along the 8 equivalent <111> crystal directions. The probability to find a photoelectron on one of the three axes is 1/8 since there is no symmetry break. Four of these axes are penetrating the emission side of the GaAs(001) crystal resulting in a maximal QE of 50%. Each of the <111>-axis has a 45 o degree angle towards the surface normal resulting in a very large emittance of nearly 1π-2π sr. The second interesting opportunity is to create a cathode with reduced emittance. One of the eight predominant propagation directions will be selected by aligning the cathode surface with the propagation direction of the photoelectron, in other words, to use a GaAs (111) crystal. This will significantly reduce the feasible QE to 12.5% (1/8) but will also reduce the emittance in comparison to a GaAs(100) cathode. A quantitative description of the emittance for electrons in the L-valley, like provided for the Γ- electrons[10], is currently not available. An additional optimization parameter is the introduction of an electric field inside the cathode. This can be done either by gradual variation of the compound from AlAs to GaAs, doping profile[11], or a combination of both. This method is creating a symmetry break which will increase QE and also the emittance. The last determining step is the emission of the photoelectron from the surface of the cathode. To achieve a high probability for emission the electron affinity has to be negative. A negative electron affinity is produced by creating a dipole layer, composed of a highly p-doped GaAs top layer and a surface layer acting as electron donor (like an n-type impurity), namely a Cs-O sub-monolayer on the surface and the first few layers of the GaAs crystal. Structures with negative electron affinity were found for the most important surface types, e.g. GaAs (100) [8, 9], GaAs(110) [6], and for GaAs(111) [12]. Experimental and theoretical studies[10] also show that a thin dipole layer of about 20nm thickness will conserve the original photoelectron angle distribution implying a negligible scattering probability of the photoelectron. However, this is in contradiction to previous publications[7] and will need experimental proof. Using the fact that the carriers are not thermalized and still in the L-valley one can tolerate a reduced negative affinity up to 0.3eV. Detailed studies of the changes of the electron affinity with coverage and surface reconstruction are available [9] which show that the work function variation is within 0.2eV over a wide range of Cs coverage and surface reconstructions. This means that the long-term stability of such a cathode should be much longer than fragile GaAs IR cathodes. We also expect that the increase of the electron affinity will yield a lower dark current. 3. Design of Ultra-Thin Photocathodes Our design goal is a transmission photocathode that operates at 400nm with a peak quantum efficiency larger than 50%. According to the previous discussion an ultra-thin GaAs cathode, e.g. a cathode with a thickness smaller than the mean free path length of the photoelectron, using intrinsic generated momentum/kinetic energy and an integrated electric field should show such a performance. Photocathode emittance can be adjusted via surface crystal orientation and tuning the potential barrier at the surface. Key to the increased quantum efficiency is the doping profile. It has to provide the necessary conductivity to avoid charging effects for high-count rate applications, reduced ionized impurity scattering to maximize the mean free path length of the photoelectrons, and provide a highly p-doped surface to allow successful activation. Using the Silvaco PISCES/Atlas code for device simulation we

4 4 Author name / Physics Procedia 00 (2011) performed various simulations of the expected electric field distribution for various doping profiles. p ++ - p-p + -profiles like shown in Figure 1 are fulfilling all necessary conditions. The thickness of the entrance window has to be minimized to avoid large absorption and increased scattering probability for the produced photoelectrons. However, a too thin layer will increase the risk of dopant diffusion during the activation process and too small conductivity. The proposed layer thickness is 20nm at a doping concentration of 1x10 19 cm -3. This layer will absorb already 36% of the photons and therefore contributes significantly to the total quantum efficiency. The optimization of the lightly doped layer is not only determined by the ratio of absorption- and scattering probability but also by practical reasons like minimizing the risk of damage during the bonding and transfer process, and significant dopant diffusion during the high temperature activation process. A lightly doped region with a thickness of about 60nm is necessary to achieve a total absorption of 83% of the incoming photons (about 47% absorption within the intrinsic layer). To optimize the layer thickness we decided not to perform a Monte Carlo simulation but to grow a set of cathodes with varying intrinsic layer thickness (carrier concentration 1x10 17 /cm 3 ) since mean free path of electrons with various energetic conditions and doping concentrations are only roughly known. A set with thicknesses with 20nm, 40nm, 60nm, and 80nm was grown. Similar to the entrance window we decided on a 20nm thick exit window. To allow the activation of the cathode using known recipes we decided to use a dopant concentration of 1x10 18 /cm 3. The contribution of carriers produced by photoabsorption in this layer is negligible. Fig. 1: Simulation of the potential distribution inside a 140nm thick p ++ -p-p + cathode structure; the position 0 nm corresponds to the cathode window side and at 140 nm is the emitting surface. The left plot shows the transversal potential distribution, the right plot the corresponding dopant concentration throughout the photocathode.

5 Author name / Physics Procedia 00 (2011) The cathodes will be activated following a known activation recipe[13]. To test the effect of reduced negative electron affinity we will activate both, a Ga-rich GaAs(001)-(4x2)/c(8x2) as well as an As-rich GaAs(001)-(2x4)/c(2x8) surface. In both cases we will apply a high Cs coverage of 60%-80%; this coverage will result in a reduced electron affinity of about 0.1eV in comparison to the maximal value but have the advantages that a variation of the Cs coverage in the 10% range should have no influence on the cathode functionality. In the case of the As-rich surface we expect a constant electron affinity in the coverage range of 40% to 80%. To test the device functionality independent from possible effects by the transfer and bonding process we will grow not only transmission cathodes but also comparable films which are separated by a 2µm thick Al.75 Ga.25 As -buffer layer from the substrate. The layer is p ++ doped to prevent back diffusion of photoelectrons produced in the buffer layer and substrate. This will ensure that we can test the hypothesis of non-thermalized and randomized photoelectrons from ultra thin cathodes without the needs of developing a transfer and bonding technique which does not introduce strain and defects. Fig. 2: Atomic Force Microscope image of photocathode surface after atmospheric MOVPE growth. Before transfer and cesiation, the low surface roughness (.3 nm rms) and 300 nm wide terraces indicate atomic smooth terraces. 4. Fabrication of Ultra-Thin Photocathodes The missing key to create ultra-thin photocathodes lies in the fabrication process. While the silicon industry has long produced bonded thin-film products (SOI)[14], GaAs has not been as fortunate. Without a robust natural oxide, transplanting thin GaAs films was reliant upon intermediate layers consisting of adhesive[15] or polymers. While this is sufficient in low-temperature and atmospheric conditions, it would never survive a photocathode activation and poison the vacuum conditions finally destroying the activation layer. Work has been done to bond GaAs to various materials without the need for adhesives[16, 17]. Recently, InP wafers have been bonded to SiO 2 /Si substrates with the use of SiO 2 as

6 6 Author name / Physics Procedia 00 (2011) intermediate layers with impressive results[18]. These methods should be directly applicable to GaAs, to fabricate thin GaAs layers upon a glass substrate which is essential for a transmission photocathode. Growth Method Single crystalline GaAs with low defect densities and atomically smooth surface is important to achieve good mean free path length for the photoelectrons and a successful activation resulting in high quantum efficiency. A test structure has been fabricated to characterize material quality. Photocathode fabrication began with GaAs (001) semi-insulating (SI) substrates on which the photocathode was grown. An atmospheric Thomas Swan metalorganic vapor phase epitaxy (MOVPE) system was used to grow subsequent layers. Trimethylgallium (TMGa) and trimethylaluminium (TMAl) were used as the metalorganic group III sources. 10% Arsine (AsH 3 ) mixture was used as the group V hydride with highpurity hydrogen (palladium diffusion cell) used as the carrier gas. Dethylzinc (DEZn) is used as the p- type doping metalorganic precursor. Carbon has been shown to have adverse effects on photocathode performance[19]. Doping levels were calibrated using a Hall effect measurement system in a van der Pauw configuration[20]. Growth started with a 100 nm intrinsic layer of GaAs buffer directly on the GaAs (100) SI substrate. A 500nm sacrificial layer of Al.75 Ga.25 As was deposited subsequently. High aluminum content is generally chosen to ensure etching selectivity and reduce etch damage of the photocathode for releasing after the bonding process. The active layers were grown in reverse order as the AlGaAs/GaAs heterojunction will eventually become the photocathode surface in the final structure. Next, a thin highly doped layer (~10 18 cm 3 )was deposited on the surface to create a sharp bend in the conduction band to reduce the surface potential to help achieve NEA. The lightly doped region (~10 17 ) was grown next and the final thickness is determined from calibration structures. Finally, regions of Zn doped GaAs, ranging from p to p ++ (10 18 cm 3 ), were formed, creating a dopant gradient for the electric field. Figure 2 shows an Atomic Force Microscope image of the material post-growth exhibiting atomic smoothness, with wide terraces indicating uniform epitaxy across the substrate. During transport and cathode thinning the cathodes are exposed to air which will result in an oxide film on the cathode exit window. This layer will be removed by an etch in an HCl and isopropyl alcohol (HCL-IPA) under inert atmosphere (details are described elsewhere[9]). To compensate for the loss of highly p-doped material we will grow the p ++ window a few nanometers thicker. Exact etching conditions, including time and temperature will be determined using calibration structures. Bond & Transfer Prior to cesiation of the surface, the transfer of the photocathode must be complete as any exposure to oxygen will spoil NEA layer if bonding is performed subsequently. Transplanting the nanometer scale photocathode involves removing the photocathode from its host substrate and bonding it to a new window substrate (B33 borofloat glass). Cesiation of the GaAs surface occurs at UHV pressures (~10-10 Torr) and temperatures in excess of 500 C. Choice of intermediate bonding layers is important to maintain bond integrity throughout the activation process. Deposition of thin bi-layer of low-stress PECVD SiNx/SiO 2 (~ 50nm each) is performed before bonding occurs. SiNx is used for an adhesion layer for the GaAs and SiO 2 provides a strong homogenous bond with the window substrate. The empirical limit of bondability between two substrates depends on the surface roughness. An average surface roughness less than 1 nm(rms) should be adequate for a good bond[21]. Preliminary AFM results show a roughness of ~.2 nm(rms) for the PECVD films, which should be sufficient for bonding. Utilizing

7 Author name / Physics Procedia 00 (2011) a custom built bonding press that controls bonding pressure and temperature in an atmospheric controlled environment (vacuum or N 2 ) wafer and window are bonded together. Extended bakeouts and surface plasma treatment before bonding can reduce interfacial voids, increasing bond integrity[18]. Post-Bonding, the handle substrate is removed via a series of chemical etches leaving only the photocathode remaining. Using photoresist to protect the sides of the photocathode, citric acid is used to etch away the GaAs bulk substrate which terminates on the AlGaAs sacrificial layer. The AlGaAs sacrificial layer is then removed via a hydrofluoric acid etch (selective against GaAs) which leaves behind an intact photocathode ready for cesiation. The etch process will be performed in inert atmosphere and the sample will be directly transferred under inert atmosphere to the activation UHV chamber. 5. Conclusion Significantly increased optical absorption of GaAs in the short wavelength range allows the design of ultra-thin, highly efficient cathodes with thicknesses comparable to the mean free path length of the photoelectron. This in combination with the strong k-dependence of the GaAs band structure results in different photoelectron emission properties dependent on the photon energy. The correlation between k- and real space is used to select the optimum crystal orientation for a given application. It is noticeable that activation procedures, e.g. a surface treatment to achieve negative electron affinity, are documented for most common surfaces allowing a large flexibility in the design. Based on these considerations, a set of cathode structures optimized for quantum efficiency in the 400nm wavelength regime was proposed, the electric field distribution simulated, and the structure grown. The cathode thickness is varying between 60nm and 120nm, shows a Δ-like p ++ -p-p + dopingprofile, and has a (001) surface. The proposed cleaning and activation process follows a well-known recipe. The expected quantum efficiency should be larger than 50%. The Δ-like p ++ -p-p + doping-profile will have two major advantages in comparison to conventional cathodes with constant doping. Most photons will be produced inside the lightly doped area of the cathode. The mean free path length is strongly enhanced due to the suppressed ionized impurity scattering within the lightly-doped layer. Additionally, the doping profile creates an internal field which provides an acceleration of the produced photoelectrons towards the cathode surface. The 20nm thin highly p-doped surface and the Cs/O activation layer should not significantly increase carrier scattering according to literature. The cathode structure was grown and first characterization measurements performed. The test of the emission and quantum efficiency properties will be first performed on a cathode in reflection geometry even if our final goal is to develop a transmission cathode. The reflection cathode will allow us to test our device structure. In a second step we will approach the challenges to transfer and bond an ultra-thin GaAs film without introducing defects and strain. Acknowledgements Work at Argonne National Laboratory was supported by the U. S. Department of Energy, Office of Science, Office of Basic Energy Sciences and Office of High Energy Physics under contract DE-AC02-06CH References

8 8 Author name / Physics Procedia 00 (2011) [1] W. E. Spicer and A. Herrera-Gomez, "Modern theory and applications of photocathodes," SPIE MILESTONE SERIES MS, vol. 169, pp , [2] D. T. Pierce, R. J. Celotta, G. Wang, x, C, W. N. Unertl, A. Galejs, C. E. Kuyatt, and S. R. Mielczarek, "The GaAs spin polarized electron source," Review of Scientific Instruments, vol. 51, pp , [3] W. E. Spicer, "Negative affinity 3 5 photocathodes: Their physics and technology," Applied Physics A: Materials Science & Processing, vol. 12, pp , [4] H. Ehrenreich, "Band Structure and Electron Transport of Gaas," Physical Review, vol. 120, pp , [5] H. C. Casey, D. D. Sell, and K. W. Wecht, "Concentration dependence of the absorption coefficient for n and p type GaAs between 1.3 and 1.6 ev," Journal of Applied Physics, vol. 46, p. 250, [6] T. Yamada, "STM, STS, and local work function study of Cs/p-GaAs(110)," Surface Science, vol. 479, pp , [7] B. Yang, G. Ciullo, V. Guidi, and L. Tecchio, "Monte-Carlo Simulation of a Gaas Electron Source," Journal of Physics D-Applied Physics, vol. 25, pp , Dec [8] I. M. Vitomirov, A. Raisanen, A. C. Finnefrock, R. E. Viturro, L. J. Brillson, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, "Geometric Ordering, Surface-Chemistry, Band Bending, and Work Function at Decapped Gaas(100) Surfaces," Physical Review B, vol. 46, pp , Nov [9] O. E. Tereshchenko, V. L. Alperovich, A. G. Zhuravlev, A. S. Terekhov, and D. Paget, "Cesium-induced surface conversion: From As-rich to Ga-rich GaAs(001) at reduced temperatures," Physical Review B, vol. 71, Apr [10] Z. Liu, Y. Sun, P. Pianetta, and R. F. W. Pease, "Narrow cone emission from negative electron affinity photocathodes," Journal of Vacuum Science & Technology B, vol. 23, pp , Nov-Dec [11] B. K. Chang, Y. J. Zhang, Z. Yang, J. Niu, and J. J. Zou, "Distribution of carriers in gradient-doping transmission-mode GaAs photocathodes grown by molecular beam epitaxy," Chinese Physics B, vol. 18, pp , Oct [12] D. C. Rodway and M. B. Allenson, "In situ surface study of the activating layer on GaAs (Cs, O) photocathodes," Journal of Physics D: Applied Physics, vol. 19, p. 1353, [13] V. V. Bakin, A. A. Pakhnevich, A. G. Zhuravlev, A. N. Shornikov, I. O. Akhundov, O. E. Tereshechenko, V. L. Alperovich, H. E. Scheibler, and A. S. Terekhov, "Semiconductor surfaces with negative electron affinity," e-journal of Surface Science and Nanotechnology, vol. 5, pp , [14] M. Bruel, B. Aspar, and A. J. AubertonHerve, "Smart-cut: A new silicon on insulator material technology based on hydrogen implantation and wafer bonding," Japanese Journal of Applied Physics Part 1-Regular Papers Short Notes & Review Papers, vol. 36, pp , Mar [15] E. Yablonovitch, T. Gmitter, J. P. Harbison, and R. Bhat, "Extreme Selectivity in the Lift-Off of Epitaxial Gaas Films," Applied Physics Letters, vol. 51, pp , Dec [16] G. Kastner, T. Akatsu, S. Senz, A. Plossl, and U. Gosele, "Large-area wafer bonding of GaAs using hydrogen and ultrahigh vacuum atmospheres," Applied Physics a-materials Science & Processing, vol. 70, pp , Jan [17] J. Schone, F. Dimroth, A. W. Bett, A. Tauzin, C. Jaussaud, and J. C. Roussin, "III-V solar cell growth on waferbonded GaAs/Si-substrates," in Photovoltaic Energy Conversion, Conference Record of the 2006 IEEE 4th World Conference on, 2006, pp [18] D. Liang, A. W. Fang, H. Park, T. E. Reynolds, K. Warner, D. C. Oakley, and J. E. Bowers, "Low-Temperature, Strong SiO2-SiO2 Covalent Wafer Bonding for III V Compound Semiconductors-to-Silicon Photonic Integrated Circuits," Journal of Electronic Materials, vol. 37, pp , [19] R. L. Bell, Negative electron affinity devices: Clarendon Press, Oxford, [20] L. J. van der Pauw, "A method of measuring specific resistivity and Hall effect of discs of arbitrary shape," Phillips Research Reports, vol. 13, pp. 1-9, 1958.

9 Author name / Physics Procedia 00 (2011) [21] C. Gui, M. Elwenspoek, N. Tas, and J. G. E. Gardeniers, "The effect of surface roughness on direct wafer bonding," Journal of Applied Physics, vol. 85, pp , May

Angular dependence of the photoelectron energy distribution of InP(100) and. GaAs(100) negative electron affinity photocathodes

Angular dependence of the photoelectron energy distribution of InP(100) and. GaAs(100) negative electron affinity photocathodes SLAC-PUB-12881 October 2007 Angular dependence of the photoelectron energy distribution of InP(100) and GaAs(100) negative electron affinity photocathodes Dong-Ick Lee Department of Materials Science and

More information

Spectral Response of GaAs(Cs, NF 3 ) Photocathodes

Spectral Response of GaAs(Cs, NF 3 ) Photocathodes Spectral Response of GaAs(Cs, NF 3 ) Photocathodes August 10, 2012 Teresa Esposito tesposito2009@my.fit.edu Florida Institute of Technology ABSTRACT In order to study the spectral response of GaAs(Cs,

More information

The surface activation layer of GaAs negative electron affinity photocathode activated by

The surface activation layer of GaAs negative electron affinity photocathode activated by SLAC-PUB-13810 The surface activation layer of GaAs negative electron affinity photocathode activated by Cs, Li and NF 3 Y. Sun 1, a), R.E. Kirby 2, T. Maruyama 3, G. A. Mulhollan 2, J. C. Bierman 2 and

More information

Electron Energy, E E = 0. Free electron. 3s Band 2p Band Overlapping energy bands. 3p 3s 2p 2s. 2s Band. Electrons. 1s ATOM SOLID.

Electron Energy, E E = 0. Free electron. 3s Band 2p Band Overlapping energy bands. 3p 3s 2p 2s. 2s Band. Electrons. 1s ATOM SOLID. Electron Energy, E Free electron Vacuum level 3p 3s 2p 2s 2s Band 3s Band 2p Band Overlapping energy bands Electrons E = 0 1s ATOM 1s SOLID In a metal the various energy bands overlap to give a single

More information

Review of Semiconductor Fundamentals

Review of Semiconductor Fundamentals ECE 541/ME 541 Microelectronic Fabrication Techniques Review of Semiconductor Fundamentals Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Page 1 Semiconductor A semiconductor is an almost insulating material,

More information

3.1 Introduction to Semiconductors. Y. Baghzouz ECE Department UNLV

3.1 Introduction to Semiconductors. Y. Baghzouz ECE Department UNLV 3.1 Introduction to Semiconductors Y. Baghzouz ECE Department UNLV Introduction In this lecture, we will cover the basic aspects of semiconductor materials, and the physical mechanisms which are at the

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler Energetic particles and their detection in situ (particle detectors) Part II George Gloeckler University of Michigan, Ann Arbor, MI University of Maryland, College Park, MD Simple particle detectors Gas-filled

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 8/30/2007 Semiconductor Fundamentals Lecture 2 Read: Chapters 1 and 2 Last Lecture: Energy Band Diagram Conduction band E c E g Band gap E v Valence

More information

Formation of Cesium Peroxide and Cesium Superoxide on InP Photocathode. Activated by Cesium and Oxygen. Yun Sun, Zhi Liu, Piero Pianetta

Formation of Cesium Peroxide and Cesium Superoxide on InP Photocathode. Activated by Cesium and Oxygen. Yun Sun, Zhi Liu, Piero Pianetta SLAC-PUB-12710 August 2007 Formation of Cesium Peroxide and Cesium Superoxide on InP Photocathode Activated by Cesium and Oxygen Yun Sun, Zhi Liu, Piero Pianetta Stanford Synchrotron Radiation Lab, Menlo

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

Laser Diodes. Revised: 3/14/14 14: , Henry Zmuda Set 6a Laser Diodes 1

Laser Diodes. Revised: 3/14/14 14: , Henry Zmuda Set 6a Laser Diodes 1 Laser Diodes Revised: 3/14/14 14:03 2014, Henry Zmuda Set 6a Laser Diodes 1 Semiconductor Lasers The simplest laser of all. 2014, Henry Zmuda Set 6a Laser Diodes 2 Semiconductor Lasers 1. Homojunction

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009. UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EE143 Professor Ali Javey Spring 2009 Exam 1 Name: SID: Closed book. One sheet of notes is allowed.

More information

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer SUHAILA SEPEAI, A.W.AZHARI, SALEEM H.ZAIDI, K.SOPIAN Solar Energy Research Institute (SERI), Universiti Kebangsaan Malaysia (UKM), 43600

More information

Cross-Section Scanning Tunneling Microscopy of InAs/GaSb Superlattices

Cross-Section Scanning Tunneling Microscopy of InAs/GaSb Superlattices Cross-Section Scanning Tunneling Microscopy of InAs/GaSb Superlattices Cecile Saguy A. Raanan, E. Alagem and R. Brener Solid State Institute. Technion, Israel Institute of Technology, Haifa 32000.Israel

More information

Review of Optical Properties of Materials

Review of Optical Properties of Materials Review of Optical Properties of Materials Review of optics Absorption in semiconductors: qualitative discussion Derivation of Optical Absorption Coefficient in Direct Semiconductors Photons When dealing

More information

Conductivity and Semi-Conductors

Conductivity and Semi-Conductors Conductivity and Semi-Conductors J = current density = I/A E = Electric field intensity = V/l where l is the distance between two points Metals: Semiconductors: Many Polymers and Glasses 1 Electrical Conduction

More information

III-V nanostructured materials synthesized by MBE droplet epitaxy

III-V nanostructured materials synthesized by MBE droplet epitaxy III-V nanostructured materials synthesized by MBE droplet epitaxy E.A. Anyebe 1, C. C. Yu 1, Q. Zhuang 1,*, B. Robinson 1, O Kolosov 1, V. Fal ko 1, R. Young 1, M Hayne 1, A. Sanchez 2, D. Hynes 2, and

More information

Physics Procedia 00 (2011) TIPP Technology and Instrumentation in Particle Physics 2011

Physics Procedia 00 (2011) TIPP Technology and Instrumentation in Particle Physics 2011 Physics Procedia 00 (2011) 000 000 Physics Procedia www.elsevier.com/locate/procedia TIPP 2011 - Technology and Instrumentation in Particle Physics 2011 Instrumentation for Theory-Inspired Photocathode

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements HW#3 is assigned due Feb. 20 st Mid-term exam Feb 27, 2PM

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Session 5: Solid State Physics. Charge Mobility Drift Diffusion Recombination-Generation

Session 5: Solid State Physics. Charge Mobility Drift Diffusion Recombination-Generation Session 5: Solid State Physics Charge Mobility Drift Diffusion Recombination-Generation 1 Outline A B C D E F G H I J 2 Mobile Charge Carriers in Semiconductors Three primary types of carrier action occur

More information

Electronic Properties of Lead Telluride Quantum Wells

Electronic Properties of Lead Telluride Quantum Wells Electronic Properties of Lead Telluride Quantum Wells Liza Mulder Smith College 2013 NSF/REU Program Physics Department, University of Notre Dame Advisors: Profs. Jacek Furdyna, Malgorzata Dobrowolska,

More information

Optimizing Graphene Morphology on SiC(0001)

Optimizing Graphene Morphology on SiC(0001) Optimizing Graphene Morphology on SiC(0001) James B. Hannon Rudolf M. Tromp Graphene sheets Graphene sheets can be formed into 0D,1D, 2D, and 3D structures Chemically inert Intrinsically high carrier mobility

More information

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Semiconductor A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced. Page 2 Semiconductor materials Page 3 Energy levels

More information

Electrons are shared in covalent bonds between atoms of Si. A bound electron has the lowest energy state.

Electrons are shared in covalent bonds between atoms of Si. A bound electron has the lowest energy state. Photovoltaics Basic Steps the generation of light-generated carriers; the collection of the light-generated carriers to generate a current; the generation of a large voltage across the solar cell; and

More information

PHOTOVOLTAICS Fundamentals

PHOTOVOLTAICS Fundamentals PHOTOVOLTAICS Fundamentals PV FUNDAMENTALS Semiconductor basics pn junction Solar cell operation Design of silicon solar cell SEMICONDUCTOR BASICS Allowed energy bands Valence and conduction band Fermi

More information

Single Photon detectors

Single Photon detectors Single Photon detectors Outline Motivation for single photon detection Semiconductor; general knowledge and important background Photon detectors: internal and external photoeffect Properties of semiconductor

More information

Black phosphorus: A new bandgap tuning knob

Black phosphorus: A new bandgap tuning knob Black phosphorus: A new bandgap tuning knob Rafael Roldán and Andres Castellanos-Gomez Modern electronics rely on devices whose functionality can be adjusted by the end-user with an external knob. A new

More information

Secondary ion mass spectrometry (SIMS)

Secondary ion mass spectrometry (SIMS) Secondary ion mass spectrometry (SIMS) ELEC-L3211 Postgraduate Course in Micro and Nanosciences Department of Micro and Nanosciences Personal motivation and experience on SIMS Offers the possibility to

More information

Reduction of thermal emittance of rf guns *

Reduction of thermal emittance of rf guns * SLAC-PUB-884 LCLS TN 99-8 October 1999 Reduction of thermal emittance of rf guns * J. E. Clendenin, T. Kotseroglou, G. A. Mulhollan, D. T. Palmer, and J. F. Schmerge Stanford Linear Accelerator Center,

More information

EE 446/646 Photovoltaic Devices I. Y. Baghzouz

EE 446/646 Photovoltaic Devices I. Y. Baghzouz EE 446/646 Photovoltaic Devices I Y. Baghzouz What is Photovoltaics? First used in about 1890, the word has two parts: photo, derived from the Greek word for light, volt, relating to electricity pioneer

More information

Unit IV Semiconductors Engineering Physics

Unit IV Semiconductors Engineering Physics Introduction A semiconductor is a material that has a resistivity lies between that of a conductor and an insulator. The conductivity of a semiconductor material can be varied under an external electrical

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 July 2008 SLAC-PUB-13302 The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface Yun Sun, a) Zhi Liu, Shiyu Sun, Piero Pianetta Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025 The

More information

MBE Growth of Graded Structures for Polarized Electron Emitters

MBE Growth of Graded Structures for Polarized Electron Emitters SLAC-PUB-14126 SBIR-P315 MBE Growth of Graded Structures for Polarized Electron Emitters Aaron Moy, a T. Maruyama, b F. Zhou b and A. Brachmann b a SVT Associates, Eden Prairie, MN. b SLAC National Accelerator

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors M. Grydlik 1, P. Rauter 1, T. Fromherz 1, G. Bauer 1, L. Diehl 2, C. Falub 2, G. Dehlinger 2, H. Sigg 2, D. Grützmacher

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Syllabus Advanced Nano Materials Semiconductor Physics and Devices Textbook Donald A. Neamen (McGraw-Hill) Semiconductor Physics and Devices Seong Jun Kang Department of Advanced Materials Engineering

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Advanced Photocathode Development. Klaus A(enkofer & cathode development group ANL

Advanced Photocathode Development. Klaus A(enkofer & cathode development group ANL Advanced Photocathode Development Klaus A(enkofer & cathode development group ANL Overview The Basic Principles of Photocathodes The Three Steps of Absorp@on: Requirements on the Material What does Novel

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich 1, P. Ross 1, J. Koch 1, A. MacPhee 2, O. Landen 2, D. Bradley 2, P. Bell 2, S. Nagel 2, T. Hilsabeck 4, N. Chen 5, S.

More information

Halbleiter. Prof. Yong Lei. Prof. Thomas Hannappel.

Halbleiter. Prof. Yong Lei. Prof. Thomas Hannappel. Halbleiter Prof. Yong Lei Prof. Thomas Hannappel yong.lei@tu-ilemnau.de thomas.hannappel@tu-ilmenau.de Important Events in Semiconductors History 1833 Michael Faraday discovered temperature-dependent conductivity

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium ABSTRACT Rainier Lee, Shiban Tiku, and Wanming Sun Conexant Systems 2427 W. Hillcrest Drive Newbury Park, CA 91320 (805)

More information

smal band gap Saturday, April 9, 2011

smal band gap Saturday, April 9, 2011 small band gap upper (conduction) band empty small gap valence band filled 2s 2p 2s 2p hybrid (s+p)band 2p no gap 2s (depend on the crystallographic orientation) extrinsic semiconductor semi-metal electron

More information

EE143 Fall 2016 Microfabrication Technologies. Evolution of Devices

EE143 Fall 2016 Microfabrication Technologies. Evolution of Devices EE143 Fall 2016 Microfabrication Technologies Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 Evolution of Devices Yesterday s Transistor (1947) Today s Transistor (2006) 1-2 1 Why

More information

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India Introduction to Semiconductor Physics 1 Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India http://folk.uio.no/ravi/cmp2013 Review of Semiconductor Physics Semiconductor fundamentals

More information

EE495/695 Introduction to Semiconductors I. Y. Baghzouz ECE Department UNLV

EE495/695 Introduction to Semiconductors I. Y. Baghzouz ECE Department UNLV EE495/695 Introduction to Semiconductors I Y. Baghzouz ECE Department UNLV Introduction Solar cells have always been aligned closely with other electronic devices. We will cover the basic aspects of semiconductor

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

Self-Assembled InAs Quantum Dots

Self-Assembled InAs Quantum Dots Self-Assembled InAs Quantum Dots Steve Lyon Department of Electrical Engineering What are semiconductors What are semiconductor quantum dots How do we make (grow) InAs dots What are some of the properties

More information

Lecture 7: Extrinsic semiconductors - Fermi level

Lecture 7: Extrinsic semiconductors - Fermi level Lecture 7: Extrinsic semiconductors - Fermi level Contents 1 Dopant materials 1 2 E F in extrinsic semiconductors 5 3 Temperature dependence of carrier concentration 6 3.1 Low temperature regime (T < T

More information

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc. 9702 Gayton Road, Suite 320, Richmond, VA 23238, USA Phone: +1 (804) 709-6696 info@nitride-crystals.com www.nitride-crystals.com Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals,

More information

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

X- ray Photoelectron Spectroscopy and its application in phase- switching device study X- ray Photoelectron Spectroscopy and its application in phase- switching device study Xinyuan Wang A53073806 I. Background X- ray photoelectron spectroscopy is of great importance in modern chemical and

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #6 is assigned, due May 1 st Final exam May 8, 10:30-12:30pm

More information

Graded S i N x /S i O x N y Layers as Antireflective Coatings for Solar Cells Based on GaAs and Silicon Crystalline

Graded S i N x /S i O x N y Layers as Antireflective Coatings for Solar Cells Based on GaAs and Silicon Crystalline Science Research 2016; 4(1): 21-25 Published online February 25, 2016 (http://www.sciencepublishinggroup.com/j/sr) doi: 10.11648/j.sr.20160401.14 ISSN: 2329-0935 (Print); ISSN: 2329-0927 (Online) Graded

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield 2D MBE Activities in Sheffield I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield Outline Motivation Van der Waals crystals The Transition Metal Di-Chalcogenides

More information

Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups.

Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups. ICQNM 2014 Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups. Cubic: Diamond structures: group IV semiconductors (Si, Ge, C), Cubic zinc-blende structures:

More information

Lecture 1. Introduction to Electronic Materials. Reading: Pierret 1.1, 1.2, 1.4,

Lecture 1. Introduction to Electronic Materials. Reading: Pierret 1.1, 1.2, 1.4, Lecture 1 Introduction to Electronic Materials Reading: Pierret 1.1, 1.2, 1.4, 2.1-2.6 Atoms to Operational Amplifiers The goal of this course is to teach the fundamentals of non-linear circuit elements

More information

Surfaces, Interfaces, and Layered Devices

Surfaces, Interfaces, and Layered Devices Surfaces, Interfaces, and Layered Devices Building blocks for nanodevices! W. Pauli: God made solids, but surfaces were the work of Devil. Surfaces and Interfaces 1 Interface between a crystal and vacuum

More information

EECS143 Microfabrication Technology

EECS143 Microfabrication Technology EECS143 Microfabrication Technology Professor Ali Javey Introduction to Materials Lecture 1 Evolution of Devices Yesterday s Transistor (1947) Today s Transistor (2006) Why Semiconductors? Conductors e.g

More information

Quantum Dots for Advanced Research and Devices

Quantum Dots for Advanced Research and Devices Quantum Dots for Advanced Research and Devices spectral region from 450 to 630 nm Zero-D Perovskite Emit light at 520 nm ABOUT QUANTUM SOLUTIONS QUANTUM SOLUTIONS company is an expert in the synthesis

More information

(Co-PIs-Mark Brongersma, Yi Cui, Shanhui Fan) Stanford University. GCEP Research Symposium 2013 Stanford, CA October 9, 2013

(Co-PIs-Mark Brongersma, Yi Cui, Shanhui Fan) Stanford University. GCEP Research Symposium 2013 Stanford, CA October 9, 2013 High-efficiency thin film nano-structured multi-junction solar James S. cells Harris (PI) (Co-PIs-Mark Brongersma, Yi Cui, Shanhui Fan) Stanford University GCEP Research Symposium 2013 Stanford, CA October

More information

Physics 156: Applications of Solid State Physics

Physics 156: Applications of Solid State Physics Physics 156: Applications of Solid State Physics Instructor: Sue Carter Office NSII 349 Office Hours: Wednesdays 11:30 to 1 pm or by appointment Email: sacarter@ucsc.edu Book: http://ece-www.colorado.edu/~bart/book/book/title.htm

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

ET3034TUx Utilization of band gap energy

ET3034TUx Utilization of band gap energy ET3034TUx - 3.3.1 - Utilization of band gap energy In the last two weeks we have discussed the working principle of a solar cell and the external parameters that define the performance of a solar cell.

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

The Effect of Dipole Boron Centers on the Electroluminescence of Nanoscale Silicon p + -n Junctions

The Effect of Dipole Boron Centers on the Electroluminescence of Nanoscale Silicon p + -n Junctions The Effect of Dipole Boron Centers on the Electroluminescence of Nanoscale Silicon p + -n Junctions Nikolay Bagraev a, Leonid Klyachkin a, Roman Kuzmin a, Anna Malyarenko a and Vladimir Mashkov b a Ioffe

More information

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb O.D. DUBON, P.G. EVANS, J.F. CHERVINSKY, F. SPAEPEN, M.J. AZIZ, and J.A. GOLOVCHENKO Division of Engineering and Applied Sciences,

More information

Semiconductor-Detectors

Semiconductor-Detectors Semiconductor-Detectors 1 Motivation ~ 195: Discovery that pn-- junctions can be used to detect particles. Semiconductor detectors used for energy measurements ( Germanium) Since ~ 3 years: Semiconductor

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

LEC E T C U T R U E R E 17 -Photodetectors

LEC E T C U T R U E R E 17 -Photodetectors LECTURE 17 -Photodetectors Topics to be covered Photodetectors PIN photodiode Avalanche Photodiode Photodetectors Principle of the p-n junction Photodiode A generic photodiode. Photodetectors Principle

More information

Quantum Dot Solar Cells

Quantum Dot Solar Cells Quantum Dot Solar Cells 2 INTRODUCTION: As industrialization speeds up in developing and under-developed countries with an alarming rise in population, global power consumption has become a big question

More information

Chapter 4 Scintillation Detectors

Chapter 4 Scintillation Detectors Med Phys 4RA3, 4RB3/6R03 Radioisotopes and Radiation Methodology 4-1 4.1. Basic principle of the scintillator Chapter 4 Scintillation Detectors Scintillator Light sensor Ionizing radiation Light (visible,

More information

ELECTRONIC DEVICES AND CIRCUITS SUMMARY

ELECTRONIC DEVICES AND CIRCUITS SUMMARY ELECTRONIC DEVICES AND CIRCUITS SUMMARY Classification of Materials: Insulator: An insulator is a material that offers a very low level (or negligible) of conductivity when voltage is applied. Eg: Paper,

More information

KATIHAL FİZİĞİ MNT-510

KATIHAL FİZİĞİ MNT-510 KATIHAL FİZİĞİ MNT-510 YARIİLETKENLER Kaynaklar: Katıhal Fiziği, Prof. Dr. Mustafa Dikici, Seçkin Yayıncılık Katıhal Fiziği, Şakir Aydoğan, Nobel Yayıncılık, Physics for Computer Science Students: With

More information

Accelerated Neutral Atom Beam (ANAB)

Accelerated Neutral Atom Beam (ANAB) Accelerated Neutral Atom Beam (ANAB) Development and Commercialization July 2015 1 Technological Progression Sometimes it is necessary to develop a completely new tool or enabling technology to meet future

More information

Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles

Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles Mater. Res. Soc. Symp. Proc. Vol. 1044 2008 Materials Research Society 1044-U10-06 Segmented Power Generator Modules of Bi 2 Te 3 and ErAs:InGaAlAs Embedded with ErAs Nanoparticles Gehong Zeng 1, Je-Hyeong

More information

Semiconductor physics I. The Crystal Structure of Solids

Semiconductor physics I. The Crystal Structure of Solids Lecture 3 Semiconductor physics I The Crystal Structure of Solids 1 Semiconductor materials Types of solids Space lattices Atomic Bonding Imperfection and doping in SOLIDS 2 Semiconductor Semiconductors

More information

Theoretical Study on Graphene Silicon Heterojunction Solar Cell

Theoretical Study on Graphene Silicon Heterojunction Solar Cell Copyright 2015 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoelectronics and Optoelectronics Vol. 10, 1 5, 2015 Theoretical Study on Graphene

More information

Lecture 12. Semiconductor Detectors - Photodetectors

Lecture 12. Semiconductor Detectors - Photodetectors Lecture 12 Semiconductor Detectors - Photodetectors Principle of the pn junction photodiode Absorption coefficient and photodiode materials Properties of semiconductor detectors The pin photodiodes Avalanche

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information

High Yield Structured X-ray Photo-Cathode Development and Fabrication

High Yield Structured X-ray Photo-Cathode Development and Fabrication High Yield Structured X-ray Photo-Cathode Development and Fabrication K. Opachich, P. Ross, J. Koch (NSTec, LLC) A. MacPhee, O. Landen, D. Bradley, P. Bell, S. Nagel (LLNL) T. Hilsabeck (GA) N. Chen, S.

More information

CsK 2 Sb PHOTOCATHODE DEVELOPMENT FOR. Martin Schmeißer, Julius Kühn, Thorsten Kamps, Andreas Jankowiak Helmholtz-Zentrum Berlin

CsK 2 Sb PHOTOCATHODE DEVELOPMENT FOR. Martin Schmeißer, Julius Kühn, Thorsten Kamps, Andreas Jankowiak Helmholtz-Zentrum Berlin CsK 2 Sb PHOTOCATHODE DEVELOPMENT FOR Martin Schmeißer, Julius Kühn, Thorsten Kamps, Andreas Jankowiak Helmholtz-Zentrum Berlin 1 PHOTOCATHODES Photoinjector for berlinpro Aim: 0.5 mm mrad emittance from

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity Etching Issues - Anisotropy Dry Etching Dr. Bruce K. Gale Fundamentals of Micromachining BIOEN 6421 EL EN 5221 and 6221 ME EN 5960 and 6960 Isotropic etchants etch at the same rate in every direction mask

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Electro - Principles I

Electro - Principles I Electro - Principles I Page 10-1 Atomic Theory It is necessary to know what goes on at the atomic level of a semiconductor so the characteristics of the semiconductor can be understood. In many cases a

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Chapter 7. Solar Cell

Chapter 7. Solar Cell Chapter 7 Solar Cell 7.0 Introduction Solar cells are useful for both space and terrestrial application. Solar cells furnish the long duration power supply for satellites. It converts sunlight directly

More information

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices V. W. Ballarotto, K. Siegrist, R. J. Phaneuf, and E. D. Williams University of Maryland and Laboratory for Physical

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION DOI: 10.1038/NCHEM.2491 Experimental Realization of Two-dimensional Boron Sheets Baojie Feng 1, Jin Zhang 1, Qing Zhong 1, Wenbin Li 1, Shuai Li 1, Hui Li 1, Peng Cheng 1, Sheng Meng 1,2, Lan Chen 1 and

More information

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Imaging Methods: Scanning Force Microscopy (SFM / AFM) Imaging Methods: Scanning Force Microscopy (SFM / AFM) The atomic force microscope (AFM) probes the surface of a sample with a sharp tip, a couple of microns long and often less than 100 Å in diameter.

More information

High Performance Polarized Electron Photocathodes Based on InGaAlAs/AlGaAs Superlattices

High Performance Polarized Electron Photocathodes Based on InGaAlAs/AlGaAs Superlattices SLAC-PUB-10891 December 2004 High Performance Polarized Electron Photocathodes Based on InGaAlAs/AlGaAs Superlattices Yu. A. Mamaev, A. V. Subashiev, Yu. P. Yashin, L. G. Gerchikov St. Petersburg State

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Chapter 1 Overview of Semiconductor Materials and Physics

Chapter 1 Overview of Semiconductor Materials and Physics Chapter 1 Overview of Semiconductor Materials and Physics Professor Paul K. Chu Conductivity / Resistivity of Insulators, Semiconductors, and Conductors Semiconductor Elements Period II III IV V VI 2 B

More information