LABORATORY MANUAL MICROPROCESSORS AND MICROCONTROLLERS. S.E (I.T) ( S e m. IV)

Size: px
Start display at page:

Download "LABORATORY MANUAL MICROPROCESSORS AND MICROCONTROLLERS. S.E (I.T) ( S e m. IV)"

Transcription

1 LABORATORY MANUAL MICROPROCESSORS AND MICROCONTROLLERS S.E (I.T) ( S e m. IV) 1

2 I n d e x Serial No. T i tl e P a g e N o. 1 8 bit addition with carry bit addition with carry bit multiplication bit multiplication 7 5 To implement block transfer 8 6 To clear 10 bytes string 2 loop instructions /8 bit subtraction 10 7 To count no of one s in a single byte number 11 8 To count no of even and odd number in 10 given number 12 9 Arranging numbers in ascending order Average of 10 numbers To check whether a given string is Palindrome Block transfer using Toggle bits for port Serial data transfer to transmit character A To generate square wave of 50 % duty cycle using To expand I/O port of 8051 using

3 L a b S e s s i o n 1 T i t l e : O b j e c t i v e : R e f e r e n c e s : T h e o r y : To add two 8 bit numbers. Program involves storing the t w o 8-bit nos in memory locations a n d adding them taking i n t o c o n s i d e r a t i o n t h e c a r r y g e n e r a t e d. T h e o b j e c t i v e o f t h i s p r o g r a m i s t o g i v e a n o v e r v i e w o f a r i t h m e t i c i n s t r u c t i o n s o f f o r 8 -b i t o p e r a n d s /8088 Family, Architecture, Programming and Design - Y u -Cheng Lui, lenn Gibsons /8088 Interfacing, Programming and Design - John Uffenback 1. Knowledge of TASM directives. 2. Knowledge of Arithmetic Instructions of 8086 for 8-b i t operands. The addressing modes used in program are: 1) Direct addressing mode: in this mode address of operand is directly Specified instruction that address is offset address of the segment being indicated by instruction e.g. MOV CL, [4521H] assume DS = 5000 H therefore PA = =54321 therefore CL = [54321 H] 2) Register Addressing Mode: In this mode operand are specified using registers. Instructions are shorter but operations cannot be identified looking at instruction. e.g. MOV CL,DL 3) Based Indexed Addressing Mode: The operand address is calculated as base register plus index register. e.g. MOV DX, [BX + SI] moves word from address pointed by BX + SI in data segment to DS. EA = DS x 10H + BX + SI 4) Base index plus displacement In this mode address of operand is calculated base register plus index register plus displacement. e.g. MOV CX, [BX+DI+DS] This moves a word from address pointed by BX + DI + DS in data segment to CX. 1. Initialize the data segme n t. 2. Store t w o 8 -bit numbers in memory locations. 3. M o v e t h e 1 s t n u m b e r i n a n y o n e o f t h e g e n e r a l p u r p o s e r e g i s t e r. 4. M o v e t h e 2 n d number in any other general purpose register. 5. Add the 2 numbers. 3

4 6. S t o r e t h e r e s u l t i n m e m o r y l o c a t i o n. 7. Check for carry flag. If carry flag is set then store '1' as MSB o f r e s u l t. 8. Stop P o s t L a b A s s i gn m e n t s : 1. Explain arithmetic instructions of Explain TASM directives. 3. Explain the instances when the carry flag is set. 4

5 L a b S e s s i o n 2 T i t l e : Objective: T h e o r y : TO ADD TWO 16 BIT NUMBERS P r o g r a m i n v o l v e s s t o r i n g t h e t w o 1 6 b i t n u m b e r s i n m e m o r y l o c a t i o n s a n d a d d i n g t h e m taking into consideration the carry generated. The objective of this program is to give an o v e r v i e w o f a r i t h m e t i c i n s t r u c t i o n s o f f o r 1 6 b i t o p e r a t i o n. 1. Instructions of microprocessor Addressing mode of microprocess o r Flag register of microprocessor Knowledge of tasm directories.. Base index displacement addressing mode is used for 16 bit addition. H e r e t h e effective address is obtained by adding displacement value with base register and index register.. 1. Initialize the data segment. 2. Store two 16 bit numbers in memory locations. 3. M o v e t h e 1 s t n u m b e r i n a n y o n e o f t h e g e n e r a l p u r p o s e r e g i s t e r. 4. M o v e t h e 2 n d number in any other general purpose register. 5. Add the 2 numbers. 6. S t o r e t h e r e s u l t i n m e m o r y l o c a t i o n. 7. Check for carry flag. If carry flag is set then store '1' as MSB of result. 8. Stop O b s e r vations: 1. Fill the table of registers with it s contents after execution of specific i n s t r u c t i o n s. 2. Fill the table of Memory with the address and data of the numbers on which operation is performed and final result\s obtained. Post Lab Assignments: 1. List of condition of the flag in the status register after ADD instructions has been executed. 2. Some 8086 mnemonics which is used for addition and storing a DATA 5

6 L a b S e s s i o n 3 Title : Objective: Theory: Observations: TO PERFORM 8 BIT MULTIPLICATION. P r o g r a m i n v o l v e s s t o r i n g t h e t w o 8 b i t n u m b e r s i n m e m o r y l o c a t i o n s a n d p e r f o r m i n g m u l t i p l i c a t i o n o p e r a t i o n. 1. Instructions of microprocessor Addressing mode of microprocessor Flag register of microprocessor Knowledge of tasm directories. M U L i n s t r u c t i o n T h i s i n s t r u c t i o n multiplies byte/word present in source with AL/Ax. After 8 bit multiplication AH stores the higher byte of result. After 16 bit multiplication DX stores higher word of result e.g. MUL BL T h i s i n s t r u c t i o n m u l t i p l i e s d a t a i n B L r e g i s t e r w i t h d a t a i n A L r e g i s t e r. R e s u l t i s stored in AX register where AH store higher byte of result. 1. Initialize the data segment. 2. I n i t i a l i z e g e n e r a l p u r p o s e r e g i s t e r. 3. Mo v e t h e c o n t e n t o f D S t o A L r e g i s t e r. 4. Increment general purpose register by M o v e c o n t e n t o f D S t o a n y o t h e r 8 b i t r e g i s t e r. 6. Perform multiplication operation using MUL instruction 7. Stop 1. Fill the table of registers with i t s con t e n t s a f t e r e x e c u t i o n o f s p e c i f i c i n s t r u c t i o n s. 2. Fill the table of Memory with the address and data of the numbers on which o p e r a t i o n i s performed and final result\s obtained. Post Lab Assignments: 1.List of condition of the flag in the status register after MUL instructions has been executed. 2. Some 8086 mnemonics which is used for multiplication and storing a DATA 6

7 L a b S e s s i o n 4 Title : Objective: T h e o r y : O b s e r v a t i o n s : TO MULTIPLY TWO 16 BIT NUMBERS P r o g r a m i n v o l v e s s t o r i n g t h e t w o 1 6 b i t n u m b e r s i n m e m o r y l o c a t i o n s a n d m u l t i p l y i n g t h e m t h e o b j e c t i v e o f t h i s p r o g r a m i s t o g i v e a n o v e r v i e w o f a ri t h me ti c i ns tr u ct i on s o f f o r 1 6 b i t o p e r a t i o n. 1. Instructions of microprocessor Addressing mode of microprocessor Flag register of microprocessor Knowledge of tas m d i r e c t o r i e s. This can be perform by using MUL instruction. Out of two 16 bit operands, one 16 bit operand is stored in AX register and the other operand is stored in a general purpose register or a memory location which mentioned in i n s t r u c t i o n. e.g. MUL BX M u l t i p l i e s c o n t e n t o f A X a n d B X r e g i s t e r. T h e r e s u l t i s 3 2 b i t d a t a. T h e h i g h e r 1 6 b i t o f r e s u l t i s s t o r e d i n D X r e g i s t e r a n d t h e l o w e r 1 6 b i t s o f r e s u l t i s s t o r e d i n A X register. MUL Word PTR [1150]: Multiplies content of memory location pointed by 1150 & 1151 & AX r e g i s t e r & s t o r e d t h e h i g h e r 1 6 b i t i n DX register & lower 16 bit in Ax r e g i s t e r. 1. Initialize the data segment. 2. Store two16 bit numbers in memory locations. 3. M o v e t h e 1 s t n u m b e r i n a n y o n e o f t h e g e n e r a l p u r p o s e r e g i s t e r. 4. M o v e t h e 2 n d number in any other general pu r p o s e r e g i s t e r. 5. Multiply the 2 numbers. 6. S t o r e t h e r e s u l t i n m e m o r y l o c a t i o n. 7. Stop. 1. Fill the table of registers with i t s c o n t e n t s a f t e r e x e c u t i o n o f s p e c i f i c i n s t r u c t i o n s. 2. Fill the table of Memory with the address and data of the numbers on Wh i c h o p e r a t i o n i s p e r f o r m e d a n d f i n a l r e s u l t s obtained. Post Lab Assignments: 1. List of condition of the flag in the status register after MUL instructions has been executed. 2. Some 8086 mnemonics which is used for multiplication and storing a DATA 7

8 L a b S e s s i o n 5 T i t l e : O b j e c t i v e : R e f e r e n c e s : T h e o r y : TO IMPLEMENT BLOCK TRANSFER. Program involves transferring source string from a particular l o c a t i o n i n s o u r c e s e g m e n t ( D a t a S e g m e n t ) t o t h e d e s i r e d l o c a t i o n i n d e s t i n a t i o n s e g m e n t ( E x t r a S e g m e n t ). T h e o b j e c t i v e o f t h i s p r o g r a m i s t o g i v e a n o v e r v i e w o f t h e S t r i n g i n s t r u c t i o n s o f /8088 Family, Architecture, Programming and Design -Y u -Cheng Lui,Glenn Gibsons /8088 Interfacing, Programming and Design - John Uffenback 1. Knowledge of TASM directives. 2. Knowledge of String Instructions of A string is a series of bytes stored sequentially in the memory string instruction operate on such strings. The sequence element is taken from the data segment using the SI r e g i s t e r t h e destination element is in the extra segment pointed by DI register. S I / D I a r e incremented/ decremented after each operation depending upon the destination flag OF in the flag register. MOVS: MOVSB/MOVSW (move string) It is used to transfer a word/byte from data segment to extra segment the offset of the source in data segment is in SI the offset of the destination is in ES in DI. SI & DI i s incremented / D e c r e m e n t e d a f t e r t h e t r a n s f e r d e p e n d i n g u p o n t h e d i r e c t i o n f l a g. REP (Repeat) : This is an instruction prefix in which can be used in string instruction. It causes the i n s t r u c t i o n t o b e repeated (X n u m b e r o f t i m e ) e.g. MOV CX,0023H CLD R E P M O V SB. 1. Initialize the data segment. 2. Store the source string in consecutive memory location. 3. Initialize the extra segment. 4. Allocate consecutive memory locations for transfer. 5. Load the effective address of source string in SI register. 6. Load the effective address of destination string in DI register. 7. Initialize the Direction flag for Auto increment or Auto decrement. 8. Store number of bytes to be transferred in any of the general purpose registers. 9. Transfer the source string using appropriate string instructions ( M O V S B / MOVSW) 8

9 10. Decrement count. 11. Check if count = 0.If yes then stop else repeat steps Stop P o s t L a b A s s i gn m e n t s : 1. Explain string instructions. 2. Explain the purpose of direction flag. 3. Explain the REP prefix. 4. Explain the difference between CMP and CMPSB 9

10 L a b S e s s i o n 6 Title: O b j e c t i v e : R e f e r e n c e s : TO CLEARING DATA IN MEMORY LOCATION. Program involves clearing data from a particular l o c a t i o n i n s o u r c e s e g m e n t ( D a t a S e g m e n t ). The objective of this program is to give an overview of the String i n s t r u c t i o n s of /8088 Family, Architecture, Programming and Design - Y u -Cheng Lui,Glenn Gibsons /8088 Interfacing, Programming and Design - John Uffenback 1. Knowledge of TASM directives. 2. Knowledge of String Instructions of T h e o r y : The clearing of 10 bytes of data in memory location can be done using s t r i n g i n s t r u c t i o n. STOSB : this string instruction is repeated 10 times using repeat ( REP)prefix. e.g. STOSB T h i s instruction copies the content of AX register and stores it in the memory location provided by ES: D I. Thus by string zero in AL register we can clear 10 bytes of data using STOSB. The ES & DI should point to the memory location w h i c h h a s t o b e cleared. 1. Initially ES & DS such that it points to the memory location which has to be c l e a r e d f i r s t. 2. Set the counter register (CX) to Set AL register to value zero. 4. Per for m STOSB operation to clear the memory. 5. Increment DI. 6. Repeat STOSB using REP prefix, count number of time. 7. Thus 10 consecutive memory locations are cleared. 8. Stop. P o s t L a b A s s i gn m e n t s : 1. Explain string instructions. 2. E x p l a i n t h e R E P p r e f i x. 10

11 L a b S e s s i o n 7 T i t l e : O b j e c t i v e : R e f e r e n c e s : TO COUNT A NUMBER OF 1 S IN A GIVEN STRING. Program involves counting of 1 s in a given string. The objective of this program is t o g i v e an overview of the String instructions of /8088 Family, Architecture, Programming and Design - Y u -Cheng Lui,Glenn Gibsons /8088 Interfacing, Programming and Design - John Uffenback 1..Knowledge of TASM directives. 2. Knowledge of String Instructions of T h e o r y : The program involves counting the number of 1 s in a given 16 bit/ 8 bit number. T h e i n s t r u c t i o n u s e d i n t h i s p r o g r a m i s R O L. ROL dest, count Left shift the bit of destination. MSB shifted to the carry. MSB goes to LSB. Bits are used shifted count number of times. If count = 1. It is specified in instruction if count > 1 l o a d t o C L r e g i s t e r a n d C L g i v e s c o u n t i n t h e i n s t r u c t i o n. D e s t i n a t i o n : R e g i s t e r a s m e m o r y l o c a t i o n. e.g. ROL AX,1 left shift X bits once. 1. Initialize the data segment. 2. Initialize BL = 0 3. Load given number. 4. Perform ROL and Al 5. If CF = 1, increment else decrement CX and Check zero flag. 6. Repeat till zero 12. Stop P os t L a b A s s i gn m e n t s : 1. Explain Logical instruction in

12 L a b S e s s i o n 8 T i t l e : T O F I N D E V E N A N D O D D N U M B E R S I N A GI V E N S T R I N G. O b j e c t i v e : R e f e r e n c e s : Theory: Program involves counting the even and odd numbers in a given array. The objective of t h i s p r o g r a m i s t o g i v e an overview of the String instructions of /8088 Family, Architecture, Programming and Design - Y u -Cheng Lui, Glenn Gibsons /8088 Interfacing, Programming and Design - John Uffenback 1. Knowledge of TASM directives. 2. Knowledge of String Instructions of A string is a series of bytes stored sequentially in the memory string instruction Operate on such strings. The SRC element is taken from the data segment using and S1 register. The destination element is in extra segment pointed by D1 register. These registers are incremented or decremented after each operation depending upon the direction flag in flag register. CLC: - the instruction clears the carry flag. RCR: - destination, count Right shift the bits of destination. LSB is shifted into CF. CF goes to MSB. Bits Are shifted counts no of times. J C: jump to specified location. INC/DFC destination: add 1 to the specified location. If CF is set to 1.No CF is affected for DFC. JMP: Depending upon the location the control is shifted there (intra/inter seg) JNZ: jump to location if ZF = Initialize the data segment. 2. Initialize the array. 3. Load the effective address of array in any general purpose register. 4. Load total number of elements of the array in any register. 5. Test LSB of CX register. If LSB =1then no. is odd else no. is even. 6. St ore result in DX register. 7. Stop. P o s t L a b A s s i gn m e n t s : 1. E x p l a i n s hi ft & r ot at e in s t r u c t i o n s. L a b S e s s i o n 9 12

13 T i t l e : O b j e c t i v e : R e f e r e n c e s : Theory: ARRANGING NUMBER IN ASCENDING ORDER. Program involves sorting an array in ascending order using Bubble sort algorithm. The objective of this program is to give an overview of the C o m p a r e a n d J u m p i n s t r u c t i o n s. U s e o f I n d i r e c t A d d r e s s i n g m o d e f o r a r r a y a d d r e s s i n g i s e x p e c t e d /8088 Family,Architecture,Programming and Design - Y u-cheng Lui,Glenn Gibsons /8088 Interfacing,Programming and Design - John Uffenback 1. Knowledge of TASM directives. 2. Knowledge of CMP and Jump Instructions of ) compare instruction: CMP dest, source The instruction compares the source with destination. The source and destination m u s t be of same size. Comparison is done by internally subtracting source from destination. The result of this is not stored anywhere instead flag bits are affected. 2) JMP instruction: if condition is true then it is similar to then it is similar to an intra segment branch and if false then branch does not take place & next instruction is executed. T h e d e s t i n a t i o n m u s t b e i n r a n g e o f -128 to 127 from address of instruction. INC next: Short jump to next only if CF = 0 1. Initialize the data segment. 2. I n i t i a l i z e the array to be sorted. 3. Store the count of numbers in a register. 4. Store count-1 in another register. 5. Load the effective address of array in any general purpose register. 6. Load the first element of the array in a register. 7. Compare with the next element of the array. 8. Check for carry flag. 9. If carry=0 first number > second number. Swap the 2 numbers. 10. Increment to the contents of the SI register so that it points to the next element of the array. 11. Decrement (count-1) by Check if (count-1) =0. If no then repeat steps 7 to Decrement count by Check if count = 0.If no then repeat steps 6 through 15. Stop. P o s t L a b A s s i gn m e n t s : 1.Explain CMP and Jump Instructions. 2.Explain Indirect Addressing Modes. L a b S e s s i o n

14 T i t l e : O b j e c t i v e : R e f e r e n c e s : Theory: TO FIND AVERAGE OF 10 NUMBERS. Program involves averaging of a ten numbers /8088 Family,Architecture,Programming and Design - Y u-c h e n g Lui,Glenn Gibsons /8088 Interfacing,Programming and Design - John Uffenback 1. Knowledge of TASM directives. 2. Knowledge of CMP and Jump Instructions of CLC: Clears carry flag. No other flags affected. L E A : l o a d e f f e c t i v e a d d r e s s o f s o u r c e i n t o g i v e n r e g i s t e r. E.g. LEA BX, t o t a l : B X effective address of total. A D C d e st, source: Adds source to destination along previous carry & stores it in destination. Both s o u r c e & d e s t i n a t i o n h a v e t o be of same size. E.g. A D C BX, C X ; BX- BX+ CX+ CF JNZ: jump to location if ZF= 0 DEC destination: subtracts 1 from specified destination. I N C d e s t i n a t i o n : Add 1 to destination. 1. Initialize the data segment. 2. C l e a r c a r r y f l a g. 3. Store initial address of list in a register. 4. Move 0A to register AL. 5. Store count in register AL. 6. Reset AL registers (content of AX) 7. Add one number from array to contents of AL 8. Decrement count 9. I n c r e m e n t S I 10. If count 0 go to step Divide content of AX with that of BL. 12. Store answer in register. 13. Stop. P o s t L a b A s s i gn m e n t s : 1. Write different instructions used. L a b S e s s i o n

15 T i t l e : Obj e c t i v e : D i s p l a y Character from keyboard until 0 is entered. T o R e a d C h a r a c t e r f r o m K e y b o a r d a n d d i s p l a y o n s c r e e n u n t i l 0 i s p r e s s e d. 1. Knowledge of TASM directives. 2. Knowledge of DOS Interrupt. Theory: Instruction used in program are: M O V A H, 0 8 H I N T 2 1 H Read Input From Keyboard without echo and store at AL. MOV AH,02H INT 21H Display Character on screen. Character should be in DL register. 1. Initialize the data segment. 2. Read input from keyboard. 3. Compare input with ASCII value of ZERO. 4. I f r e s u l t i s 0, g o t o s t e p Move content of AL to DL, to display it on screen. 6. Display character on screen. 7. Stop 15

16 L a b S e s s i o n 1 2 T i t l e : O b j e c t i v e : Password Verification T h e o b j e c t i v e i s t o m a k e u s e o f s t r i n g i n s t r u c t i o n a n d M A C R O, t o c h e c k w h e t h e r t h e e n t e r e d p a s s w o r d b y t h e u s e r i s c o r r e c t o r n o t. 1. Knowledge of TASM directives. 2. Knowledge of DOS interrupt. 3.Knowledge of string instruction and MACRO. 1. S t o r e I n i t i a l p a s s w o r d i n t o A r r a y. 2. Write Macro for printing output message. 3. W r i t e M a c r o t o d i s p l a y *. 4. I n i t i a l i z e t h e d a t a s e g m e n t. 5. Set the counter value=no. of character present in password. 6. Load Effective address of stored password in BX. 7. Take input from the keyboard. 8. Compare input with the password string. 9. I f z e r o = 0, b o t h v a l u e a r e e q u a l. G o t o s t e p 1 0. If zero is not equal to 0.Go to step display * Macro I n c r e m e n t B X. 12. Decrement counter by Check if counter=0.if not, Repeat step 7 to Display Macro message for correct password, Go to step Display * macro and Macro message for wrong password E n d P o s t L a b A s s i gn m e n t s : 1. Explain Macro.. L a b S e s s i o n

17 T i t l e : O b j e c t i v e : Algorithm: To write a program for 3 X 3 matrix Addition T h e o b j e c t i v e i s t o m u l t i p l y 3 X 3 m a t r i x. 1. Knowledge of TASM directives. 2. Knowledge of DOS interrupt. 3.Knowledge of string instruction and MACRO 1. Initialize the data segment. 2. Initialise counter = 9 3. Initialize pointer DI to matrix Initialize pointer Bx to matrix Initialize pointer SI to result matrix Get the number from matrix Add number from matrix 1 with matrix 1 number. 8. Save the carry if any. 9. S a v e t h e r e s u l t i n r e s u l t m a t r i x Increment DI,BX,SI to point to next element. 11. D e c r e m e n t c o u n t. 12. Check if count = 0,if not goto step VI else goto step XIII 13. Display the result. 14. S t o p. L a b S e s s i o n 11 17

18 T i t l e : T O C H E C K I F T H E E X TE R N A L S TR I N G I S A P A L I N D R OM E O R N O T. O b j e c t i v e : Pre-Requisites: To search the given string is palindrome. 1. Knowledge of string instruction. 2. Knowledge of TASM directive. 3. Addressing mode of microprocessor F l a g r e g i s t e r o f m i c r o p r o c e s s o r R e f e r e n c e : /8088 Family,Architecture,Progra m m i n g a n d D e s i g n - Y u -Cheng Lui,Glenn Gibsons /8088 Interfacing,Programming and Design - John Uffenback T h e o r y : String instruction/ data transfer LEA register, Source Load effective address (offset Address) of source in given register. e.g. LEA BX, Total; BX Effective address of Total DS. STOSB/ STOSW ( Stor e string) It is used to store AL( or AX) into a m e m o r y location pointed by ES:DI DI is incremented ( decremented after transfer depending upon DF) LODSB/LODSW: Used to copy the contents of memory location pointed by DS:SI & store it in AL register SI is incremented /decremented after transfer depending upon DF. Algorithm: 1. St art 2. Define Data Segment (DS) 3. Move data segment address to register AX. 4. Move content of AX to DX 5. Move content of AX to ES. 6. Move 00 to DL. 7. Move 05 to CX 8. Load effective address of source to SI. 9. Load effective address of destination to DI. 10. Clear DF & load string in byte format. 11. Set DF. 12. Store string in by format pointed by DI. 13. Loop to the step Move 0005 to CX. 16. Clear DF. 17. Repeat if zero when comparing string in byte format. 18. Jump if not zero to Move 01h to data byte initialized. 20. Move 41H to Register AH. 21. Stop. Post Lab Assignments: 1. Explain 8086 programming in assembler. L a b S e s s i o n

19 T i t l e : O b j e c t i v e : Algorithm: To compute power of number x N using dos intrrupt. T h e o b j e c t i v e i s t o m a k e u s e o f s t r i n g i n s t r u c t i o n a n d M A C R O, t o c h e c k w h e t h e r t h e e n t e r e d p a s s w o r d b y t h e u s e r i s c o r r e c t o r n o t. 1. Knowledge of TASM directives. 2. Knowledge of DOS interrupt. 3.Knowledge of string instruction and MACRO. 1. Initialize data segment 2. Write a macro for reading a value from the keyboard 3. Write a macro for printing input message and result 4. Initialize the code segment. 5. Call the macro to input the number X & N. 6. Write a loop for calculating x N. 7. Convert the above computed value obtained in step 5 to ASCII value. 8. Call the macro to point the result. 19

20 L a b S e s s i o n 12 T i t l e : O b j e c t i v e : Pre-r e q u i s i t es : R e f e r e n c e : T h e o r y : TRANSFER A BLOCK OF MEMORY FROM ONE LOCATION TO THE OTHER IN 8051 a) To familiarize with data transfer instruction of 8051 b) General Assembly Language Programming concepts of data transfer instruction Kenneth Ayala - Penram International (II edition) Data transfer instructions are used as follows. MOV < dest - byte>, < src byte> This instruction copies the content of the source location to the destination location. The contents of source location are unchanged. It allows fifteen combinations of source and destination addressing modes. 1) MOV A, Rn : this instruction copies the contents of the register Rn to the accumulator. MOV A, R1 2 ) M O V A, D i r e c t : This instruction copies the contents of direct address given in the instruction to the accumulator. E.g. MOV a, 40H. 3) MOV Ri: This instruction will copy the contents of memory location whose address is specified in the register Ri of the selected bank of the accumulator. E.g. MOV R0. 4) MOV A, #data: this instruction will copy the immediate data to accumulator. e.g. MOV A,#31H. 5) MOV Rn, A: this instruction will copy the contents of accumulator to the register Rn of selected register bank. E.g. MOV R5, A. 6) MOV Rn, Direct: this instruction will copy contents from direct address specified in the instruction to register Rn of selected register bank. E.g. MOV R3, 30H. 7) MOV Rn, #data: this instruction will copy the immediate data to the register Rn of selected register bank. E.g. MOV R7, #20H. 8) MOV direct, A: this instruction will copy the contents of accumulator to the direct address specified in the instruction. E.g. MOV 80 H, A. 9) MOV direct, Rn: this instruction will copy the contents of register Rn of the selected register bank to the direct address specified in the instruction. E.g. MOV 30 H, R5. 10) MOV direct, direct: this instruction will copy the contents source direct address to the destination direct address. E.g. MOV 20 H, 30 H. 20

21 a) Direct addressing mode: 1. Move the data into accumulator. 2. Move the data from accumulator to the memory location one by one. b) Register direct addressing mode without loop. 1. Move the data into accumulator. 2. Initialize any register Ro to memory location. 3. Move data of accumulator into the address pointed by Ro. 4. Increment Ro. 5. Repeat step 3 & 5 for 5 more times. 6. End. c) Register indirect addressing mode with loop 1. Move the data into accumulator. 2. Initialize any register Ro to memory location. Initialize R1 to Another memory address. 3. Move data of accumulator into the address pointed by Ro. 4. Increment Ro. 5. Decrement R1. 5. If R1 0, Repeat step 3, 4 & End. P o s t L a b A s s i gn m e n t s : 1. Explain the difference between MOV, MOVX, MOVC 2. Explain register bank in Explain difference between DPTR and PC 21

22 L a b S e s s i o n 1 3 T i t l e : WRITE A PROGRAM TO TOGGLE BITS FOR PORT 1. O b j e c t i v e : a) To familiarize with data transfer instruction of 8051 b) General Assembly Language Programming concepts of 8051 Pre-r e q u i s i t es : R e f e r e n c e : Theory: O b s e r v a t i o n s : 8051 data port details. Kenneth Ayala - Penram International ( II edition) 1) SJMP relative address It is the conditional jump after execution of SJMP instruction contents of relation address with PC to for jump location to which program control is transferred. 2) A call address (Absolute call) It calls address, subroutine located at a specific address. No flag affected. 1. Start 2. Move data 00H to the accumulator. 3. Move accumulator content to port. 4. Call delay. 5. Move data FF H to the accumulator. 6. Move accumulator content to port. 7. Call delay. 8. Jump to step Stop. Observe the port pin is toggling from 0 to 1 and again 0. P o s t L a b A s s i gn m e n t s : 1. Explain the various instructions used. 22

23 L a b S e s s i o n 1 4 T i t l e : W R I T E A PROGRAM TO SERIAL DATA TRANSFER TO TRANSMIT CHARACTER A O b j e c t i v e : a) To familiarize with data transfer instruction of 8051 b) General Assembly Language Programming concepts of 8051 Pre-r e q u i s i t es : R e f e r e n c e : Theory: 8051 data port details. Kenneth Ayala - Penram International (II edition) for communication between two computer systems we need to send and receive the data bits serially. The microcontroller 8051 has serial data communication circuit which uses a register in the SFR called SBUF to hold the data. The register SCON controls the data communication; the register PCON controls the data rates. The SBUF register comprise the two registers physically one of them is write only and is used to hold the data that is to be transmitted out from the microcontroller via the TXD pin, while the other is read only and holds the data that is receive from the external source via the RXD pin. The serial interface can be operated in four different modes mode 0, mode 1, mode 2, and mode 3 which can be configured using SCON register. Mode 0: Shift Register Mode 1: 8 bit UART. Mode2: 9 bit UART with fixed baud rate Mode3:9 bit UART with variable baud rate Mode 2 &3 has special provision for multiprocessor communication i.e. we can have master /slave configuration. ( M S B) ( LS B) SM0 SM1 SM2 REN T B8 R B8 TI RI Where SM0, SM1 specify the serial port mode, as follows: M0 SM1 Mode Description Ba u d Ra t e Shift Register Fosc/ bit UART Variable bit UART F o s c /64 or f osc bit UART Variable 23

24 1. Initializes TMOD register with value. 2. Initializes TH1 register with value -6 for baud Initialize SCON register with value. 4. Start the timer Move the immediate value p to SBUF register. 6. Wait till the TI flag is set. 7. Clear the TI flag. 8. To transmit data A continuously repeat 5, 6, and 7. P o s t L a b A s s i gn m e n t s : 1. Explain the various instructions used. 24

25 L a b S e s s i o n 1 5 T i t l e : T O G E N E R A T E O F 50 % D U T Y CYC L E US I NG O b j e c t i v e : Pre-r e q u i s i t es : R e f e r e n c e : T h e o r y : a) Understand 8051 Timers modes b ) U s e o f T C O N, T M O D a n d P C O N S F R s t o p r o g r a m t h e T i m e r s f o r a p a r t i c u l a r o v e r f l o w t i m e s c ) W r i t e I S R f o r T i m e r I n t e r r u p t Timer modes 2. SFRs TCON, TMOD, IE P C O N d e f i n i t i o n s 3. ISR locations Kenneth Ayala - P e n r a m I n t e r n a t i o n a l ( I I e d i t i o n ) T i m e r Mode 0: Setting timer X mode bits to 00b in the TMOD register results in using the THX register as an 8 - bit counter & TLX as a 5 bit counter; the pulse input is divided by 32d in TL so that TH counts the original oscillator frequency reduced by a total 384d. A s an example, the 6 MHz oscillator frequency would result in a final frequency to TH of Hz. The timer flag is set whenever THX go from FFh to 00h, or in.0164 seconds for 6 MHz. crystal if THX starts at 00h. Timer Mode 1: Mode 1 is similar to mode 0 except TLX is configured as a full 8-bit counter when the mode bits are set to 01b in TMOD. The timer flag would be set in seconds using a 6 MHz crystal. Timer Mode 2: setting the mode bits to 10b in TMOD configures the timer to use only the TLX counter as an 8 bit counter. T H X are used to hold a value that is loaded into TLX every time TLX overflow from FFh to 00h. The timer flag is also set when TLX overflows. This mode exhibits an auto reload feature: TLX will count up from the number in THX, overflow and initialized again with the contents of THX. Timer Mode 3: t i m e r 0, 1 may be programmed to be in mode 0, 1 or 2 independently of a similar mode for the other timer. This is not true for mode 3; the timers do not operate independently if mode 3 is chosen for timer 0. Placing timer 1 in mode 3 cause it to stop counting; the control bit TR1 and the timer 1 flag TF1 are then used by timer 0. Timer 0 in mode 3 becomes two completely separate 8 bit counters. 25

26 M ain Pr ogr am 1. Start 2. Initialize 8255 ports (A - o/p) 3. I n i t i a l i z e t i m e r 0 i n A u t o -reload mode 4. Enable Timer 0 Interrupt 5. Load count in Timer registers 6. Reset port A 7. Enable Timer Run 8. Go to 8051 in idle mode I n t er r u pt S er v i ce R ou t i n e O b s e r v a t i o n s : 1. Complement port A 2. Out to port A 3. Go to idle mode O b s e r v e t h e S q u a r e W a v e g e n e r a t e d o n C R O a n d c a l c u l a t e the Time Period between t w o Interrupts (T o b s.) Tc a l c = 12*(256 - Count in T H ) / 1 2 C o m p a r e T c a l c. w i t h T o b s. 26

27 L a b S e s s i o n 1 6 Title: Handshake operation of 8255 O b j e c t i v e : To study handshake operation of 8255 (Port A as a input port and port B a s an output port read a byte from port A and transfer to port B) T h e o r y : Algorithm: 1. Knowledge of operating modes of Handshake operation of 8255 Port A and the high part of port C may be programmed in one of three modes; port B and the lower part of port C may be programmed in one of two modes. The modes are: Mode 0- Basic I/O: Data written to the port is latched; data read from the port is read from the input pins.( this mode is identical to 8051 port operation.) Mode 1 Strobed I/O: This handshaking mode uses port A & B as I/O and port C to Generate handshaking signal to the devices connected to port A & B and an interrupt signal to the host microcontroller. Mode 2 Strobed bidirectional I/O: this mode is similar to mode 1 with the ability to use port A as a Bidirectional data Bus. Mode 1 & 2 require setting interrupt enable bits in the port C data register. These modes are intended to be used with intelligent peripherals such as printer. 1. To initialize 8255 port A as input port & port B as output port in mode 1 2. Enable INTRA using BSR mode. i.e. Make PC4 high. 3. Enable INTRN using BSR mode i.e. Make PC2 high. 4. Apply input to port A through LED. 5. Check if INTRA is present. 6. If INTRA is present input a byte from port A & increment data counter & store the data into memory 7. Read the status word of port B 8. Check INTRB. If INTRB is present & transfer a byte from memory location to port B P o s t L a b A s s i gn m e n t s : 1. Explain BSR mode of 8255 in detail. 2. Explain handshake operations of

28 28

LABORATORY MANUAL MICROPROCESSOR AND MICROCONTROLLER

LABORATORY MANUAL MICROPROCESSOR AND MICROCONTROLLER LABORATORY MANUAL S u b j e c t : MICROPROCESSOR AND MICROCONTROLLER TE (E lectr onics) ( S e m V ) 1 I n d e x Serial No T i tl e P a g e N o M i c r o p r o c e s s o r 8 0 8 5 1 8 Bit Addition by Direct

More information

EEE Lecture 1 -1-

EEE Lecture 1 -1- EEE3410 - Lecture 1-1- 1. PC -> Address Move content of the Program Counter to Address Bus 2. Mem(Add) -> ID Move the Data at Location Add from main memory to Instruction Decoder (ID) 3. Acc s -> ALU Move

More information

System Data Bus (8-bit) Data Buffer. Internal Data Bus (8-bit) 8-bit register (R) 3-bit address 16-bit register pair (P) 2-bit address

System Data Bus (8-bit) Data Buffer. Internal Data Bus (8-bit) 8-bit register (R) 3-bit address 16-bit register pair (P) 2-bit address Intel 8080 CPU block diagram 8 System Data Bus (8-bit) Data Buffer Registry Array B 8 C Internal Data Bus (8-bit) F D E H L ALU SP A PC Address Buffer 16 System Address Bus (16-bit) Internal register addressing:

More information

Lecture 1. How to Boot a PC. Andrei Pitiș. October 8, Operating Systems Practical. OSP Lecture 1, Booting 1/30

Lecture 1. How to Boot a PC. Andrei Pitiș. October 8, Operating Systems Practical. OSP Lecture 1, Booting 1/30 Lecture 1 How to Boot a PC Andrei Pitiș Operating Systems Practical October 8, 2014 OSP Lecture 1, Booting 1/30 Table of Contents Hardware Processor Memory I/O subsystems Boot Process Keywords Resources

More information

,- # (%& ( = 0,% % <,( #, $ <<,( > 0,% #% ( - + % ,'3-% & '% #% <,( #, $ <<,(,9 (% +('$ %(- $'$ & " ( (- +' $%(& 2,#. = '%% ($ (- +' $ '%("

,- # (%& ( = 0,% % <,( #, $ <<,( > 0,% #% ( - + % ,'3-% & '% #% <,( #, $ <<,(,9 (% +('$ %(- $'$ &  ( (- +' $%(& 2,#. = '%% ($ (- +' $ '%( FEATURES!" #$$ % %&' % '( $ %( )$*++$ '(% $ *++$ %(%,- *(% (./ *( #'% # *( 0% ( *( % #$$ *( '( + 2' %( $ '( 2! '3 ((&!( *( 4 '3.%" / '3 3.% 7" 28" 9' 9,*: $ 9,*: $% (+'( / *(.( # ( 2(- %3 # $((% # ;' '3$-,(

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 10 April 12, 2012 Dohn Bowden 1 Today s Lecture First half of the class Circuits for Arithmetic Operations Chapter 18 Should finish at least

More information

Enrico Nardelli Logic Circuits and Computer Architecture

Enrico Nardelli Logic Circuits and Computer Architecture Enrico Nardelli Logic Circuits and Computer Architecture Appendix B The design of VS0: a very simple CPU Rev. 1.4 (2009-10) by Enrico Nardelli B - 1 Instruction set Just 4 instructions LOAD M - Copy into

More information

Grabber. Technical Manual

Grabber. Technical Manual Grabber 0 MHZ Analog Signal Digitizer Technical Manual 0 th Street, Davis, CA, USA Tel: 0--00 Fax: 0--0 Email: sales@tern.com http://www.tern.com COPYRIGHT Grabber, and A-Engine are trademarks of TERN,

More information

Lecture 13: Sequential Circuits, FSM

Lecture 13: Sequential Circuits, FSM Lecture 13: Sequential Circuits, FSM Today s topics: Sequential circuits Finite state machines 1 Clocks A microprocessor is composed of many different circuits that are operating simultaneously if each

More information

Design of Sequential Circuits

Design of Sequential Circuits Design of Sequential Circuits Seven Steps: Construct a state diagram (showing contents of flip flop and inputs with next state) Assign letter variables to each flip flop and each input and output variable

More information

hexadecimal-to-decimal conversion

hexadecimal-to-decimal conversion OTHER NUMBER SYSTEMS: octal (digits 0 to 7) group three binary numbers together and represent as base 8 3564 10 = 110 111 101 100 2 = (6X8 3 ) + (7X8 2 ) + (5X8 1 ) + (4X8 0 ) = 6754 8 hexadecimal (digits

More information

On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work

On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work Lab 5 : Linking Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work 1 Objective The main objective of this lab is to experiment

More information

ECE260: Fundamentals of Computer Engineering

ECE260: Fundamentals of Computer Engineering Data Representation & 2 s Complement James Moscola Dept. of Engineering & Computer Science York College of Pennsylvania Based on Computer Organization and Design, 5th Edition by Patterson & Hennessy Data

More information

2

2 Computer System AA rc hh ii tec ture( 55 ) 2 INTRODUCTION ( d i f f e r e n t r e g i s t e r s, b u s e s, m i c r o o p e r a t i o n s, m a c h i n e i n s t r u c t i o n s, e t c P i p e l i n e E

More information

Computer Engineering Department. CC 311- Computer Architecture. Chapter 4. The Processor: Datapath and Control. Single Cycle

Computer Engineering Department. CC 311- Computer Architecture. Chapter 4. The Processor: Datapath and Control. Single Cycle Computer Engineering Department CC 311- Computer Architecture Chapter 4 The Processor: Datapath and Control Single Cycle Introduction The 5 classic components of a computer Processor Input Control Memory

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Outline Combinational logic circuits Output

More information

ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University

ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University ECEN 651: Microprogrammed Control of Digital Systems Department of Electrical and Computer Engineering Texas A&M University Prof. Mi Lu TA: Ehsan Rohani Laboratory Exercise #4 MIPS Assembly and Simulation

More information

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D. Basic Experiment and Design of Electronics LOGIC CIRCUITS Ho Kyung Kim, Ph.D. hokyung@pusan.ac.kr School of Mechanical Engineering Pusan National University Digital IC packages TTL (transistor-transistor

More information

EXPERIMENT Bit Binary Sequential Multiplier

EXPERIMENT Bit Binary Sequential Multiplier 12.1 Objectives EXPERIMENT 12 12. -Bit Binary Sequential Multiplier Introduction of large digital system design, i.e. data path and control path. To apply the above concepts to the design of a sequential

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec09 Counters Outline. Review: Designing with FSM EECS 150 - Components and Design Techniques for Digital Systems Lec09 Counters 9-28-04 David Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference)

ECE 3401 Lecture 23. Pipeline Design. State Table for 2-Cycle Instructions. Control Unit. ISA: Instruction Specifications (for reference) ECE 3401 Lecture 23 Pipeline Design Control State Register Combinational Control Logic New/ Modified Control Word ISA: Instruction Specifications (for reference) P C P C + 1 I N F I R M [ P C ] E X 0 PC

More information

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs April 16, 2009 John Wawrzynek Spring 2009 EECS150 - Lec24-blocks Page 1 Cross-coupled NOR gates remember, If both R=0 & S=0, then

More information

Verilog HDL:Digital Design and Modeling. Chapter 11. Additional Design Examples. Additional Figures

Verilog HDL:Digital Design and Modeling. Chapter 11. Additional Design Examples. Additional Figures Chapter Additional Design Examples Verilog HDL:Digital Design and Modeling Chapter Additional Design Examples Additional Figures Chapter Additional Design Examples 2 Page 62 a b y y 2 y 3 c d e f Figure

More information

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010

Introduction EE 224: INTRODUCTION TO DIGITAL CIRCUITS & COMPUTER DESIGN. Lecture 6: Sequential Logic 3 Registers & Counters 5/9/2010 EE 224: INTROUCTION TO IGITAL CIRCUITS & COMPUTER ESIGN Lecture 6: Sequential Logic 3 Registers & Counters 05/10/2010 Avinash Kodi, kodi@ohio.edu Introduction 2 A Flip-Flop stores one bit of information

More information

Project Two RISC Processor Implementation ECE 485

Project Two RISC Processor Implementation ECE 485 Project Two RISC Processor Implementation ECE 485 Chenqi Bao Peter Chinetti November 6, 2013 Instructor: Professor Borkar 1 Statement of Problem This project requires the design and test of a RISC processor

More information

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1>

Chapter 5. Digital Design and Computer Architecture, 2 nd Edition. David Money Harris and Sarah L. Harris. Chapter 5 <1> Chapter 5 Digital Design and Computer Architecture, 2 nd Edition David Money Harris and Sarah L. Harris Chapter 5 Chapter 5 :: Topics Introduction Arithmetic Circuits umber Systems Sequential Building

More information

Lecture 13: Sequential Circuits, FSM

Lecture 13: Sequential Circuits, FSM Lecture 13: Sequential Circuits, FSM Today s topics: Sequential circuits Finite state machines Reminder: midterm on Tue 2/28 will cover Chapters 1-3, App A, B if you understand all slides, assignments,

More information

PGT104 Digital Electronics. PGT104 Digital Electronics

PGT104 Digital Electronics. PGT104 Digital Electronics 1 Part 6 Sequential Logic ircuits Disclaimer: Most of the contents (if not all) are extracted from resources available for Digital Fundamentals 10 th Edition 2 Basic Shift Register Operations A shift register

More information

State and Finite State Machines

State and Finite State Machines State and Finite State Machines See P&H Appendix C.7. C.8, C.10, C.11 Hakim Weatherspoon CS 3410, Spring 2013 Computer Science Cornell University Big Picture: Building a Processor memory inst register

More information

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B . (a). (b). (c) S.E. Sem. III [EXTC] igital Electronics Prelim Question Paper Solution ABC ABC ABC ABC ABC ABC ABC ABC = B LHS = ABC ABC ABC ABC ABC ABC ABC ABC But ( ) = = ABC( ) ABC( ) ABC( ) ABC( )

More information

Tribhuvan University Institute of Science and Technology 2067

Tribhuvan University Institute of Science and Technology 2067 11CSc. MTH. -2067 Tribhuvan University Institute of Science and Technology 2067 Bachelor Level/First Year/ Second Semester/ Science Full Marks: 80 Computer Science and Information Technology Pass Marks:

More information

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12]

More information

80C186EC 80C188EC AND 80L186EC 80L188EC 16-BIT HIGH-INTEGRATION EMBEDDED PROCESSORS

80C186EC 80C188EC AND 80L186EC 80L188EC 16-BIT HIGH-INTEGRATION EMBEDDED PROCESSORS 80C186EC 80C188EC AND 80L186EC 80L188EC 16-BIT HIGH-INTEGRATION EMBEDDED PROCESSORS X Fully Static Operation X True CMOS Inputs and Outputs Y Y Y Integrated Feature Set Low-Power Static Enhanced 8086 CPU

More information

MS BA Micro Altimeter Module

MS BA Micro Altimeter Module High resolution module, 20cm Fast conversion down to ms Low power, µa (standby < 0.5 µa) QFN package 5.0 x 3.0 x.0 mm 3 Supply voltage.8 to 3.6 V Integrated digital pressure sensor (24 bit Σ AC) Operating

More information

Name: ID# a) Complete the state transition table for the aforementioned circuit

Name:   ID# a) Complete the state transition table for the aforementioned circuit UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences EECS150 Fall 2001 Prof. Subramanian Final Examination 1) You are to design a sequential circuit with two JK FFs A and

More information

Digital Fundamentals

Digital Fundamentals Digital Fundamentals Tenth Edition Floyd hapter 8 Modified by Yuttapong Jiraraksopakun Floyd, Digital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 ounting in Binary As you know, the binary

More information

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline.

Review: Designing with FSM. EECS Components and Design Techniques for Digital Systems. Lec 09 Counters Outline. Review: esigning with FSM EECS 150 - Components and esign Techniques for igital Systems Lec 09 Counters 9-28-0 avid Culler Electrical Engineering and Computer Sciences University of California, Berkeley

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Simple Processor CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev Digital

More information

Motors Automation Energy Transmission & Distribution Coatings. Servo Drive SCA06 V1.5X. Addendum to the Programming Manual SCA06 V1.

Motors Automation Energy Transmission & Distribution Coatings. Servo Drive SCA06 V1.5X. Addendum to the Programming Manual SCA06 V1. Motors Automation Energy Transmission & Distribution Coatings Servo Drive SCA06 V1.5X SCA06 V1.4X Series: SCA06 Language: English Document Number: 10003604017 / 01 Software Version: V1.5X Publication Date:

More information

89BSD CALCULATION METHOD APPLICATION NOTE

89BSD CALCULATION METHOD APPLICATION NOTE FUNCTIONAL DESCRIPTION GENERAL The 89BSD consists of a piezoresistive sensor and a sensor interface IC. The main function of the sensor interface IC is to convert the uncompensated analogue output voltage

More information

Arithme(c logic units and memory

Arithme(c logic units and memory Arithme(c logic units and memory CSCI 255: Introduc/on to Embedded Systems Keith Vertanen Copyright 2011 Layers of abstrac-on abstrac(on building blocks examples computer components Macbook Pro components

More information

Sitronix ST7586S INTRODUCTION FEATURES. 4-Level Gray Scale Dot Matrix LCD Controller/Driver. 6800, 8080, 4-Line & 3-Line Interface

Sitronix ST7586S INTRODUCTION FEATURES. 4-Level Gray Scale Dot Matrix LCD Controller/Driver. 6800, 8080, 4-Line & 3-Line Interface Sitronix INTRODUCTION ST ST7586S 4-Level Gray Scale Dot Matrix LCD Controller/Driver ST7586S is a driver & controller LSI for 4-level gray scale graphic dot-matrix liquid crystal display systems. It contains

More information

Unit 7 Sequential Circuits (Flip Flop, Registers)

Unit 7 Sequential Circuits (Flip Flop, Registers) College of Computer and Information Sciences Department of Computer Science CSC 220: Computer Organization Unit 7 Sequential Circuits (Flip Flop, Registers) 2 SR Flip-Flop The SR flip-flop, also known

More information

Chapter 5 Synchronous Sequential Logic

Chapter 5 Synchronous Sequential Logic Chapter 5 Synchronous Sequential Logic Sequential circuit: A circuit that includes memory elements. In this case the output depends not only on the current input but also on the past inputs. Memory A synchronous

More information

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control

Logic and Computer Design Fundamentals. Chapter 8 Sequencing and Control Logic and Computer Design Fundamentals Chapter 8 Sequencing and Control Datapath and Control Datapath - performs data transfer and processing operations Control Unit - Determines enabling and sequencing

More information

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1

Synchronous Sequential Circuit Design. Dr. Ehab A. H. AL-Hialy Page 1 Synchronous Sequential Circuit Design Dr. Ehab A. H. AL-Hialy Page Motivation Analysis of a few simple circuits Generalizes to Synchronous Sequential Circuits (SSC) Outputs are Function of State (and Inputs)

More information

Adders, subtractors comparators, multipliers and other ALU elements

Adders, subtractors comparators, multipliers and other ALU elements CSE4: Components and Design Techniques for Digital Systems Adders, subtractors comparators, multipliers and other ALU elements Adders 2 Circuit Delay Transistors have instrinsic resistance and capacitance

More information

TR7707. TR7707 Document No.:TR7707 Version: x240 dot Graphics Mono up to16 grayscale STN-LCD Driver/Controller INTRODUCTION FEATURES

TR7707. TR7707 Document No.:TR7707 Version: x240 dot Graphics Mono up to16 grayscale STN-LCD Driver/Controller INTRODUCTION FEATURES TR7707 Document No.TR7707 Version 004 TR7707 320 x240 dot Graphics Mono up to16 grayscale STN-LCD Driver/Controller INTRODUCTION The TR7707 is a single chip, 16-grayscale/Mono STN-LCD driver with controller

More information

SERIALLY PROGRAMMABLE CLOCK SOURCE. Features

SERIALLY PROGRAMMABLE CLOCK SOURCE. Features DATASHEET ICS307-03 Description The ICS307-03 is a dynamic, serially programmable clock source which is flexible and takes up minimal board space. Output frequencies are programmed via a 3-wire SPI port.

More information

EECS150 - Digital Design Lecture 23 - FSMs & Counters

EECS150 - Digital Design Lecture 23 - FSMs & Counters EECS150 - Digital Design Lecture 23 - FSMs & Counters April 8, 2010 John Wawrzynek Spring 2010 EECS150 - Lec22-counters Page 1 One-hot encoding of states. One FF per state. State Encoding Why one-hot encoding?

More information

The Register Set / C167

The Register Set / C167 20 The Set This section summarizes all registers, which are implemented in the C167 and explains the description format which is used in the chapters describing the function and layout of the SFRs. For

More information

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques Time: 3 Hrs.] Prelim Question Paper Solution [Marks : 100 Q.1(a) Attempt any SIX of the following : [12] Q.1(a) (i) Derive AND gate and OR gate

More information

Menu. Excitation Tables (Bonus Slide) EEL3701 EEL3701. Registers, RALU, Asynch, Synch

Menu. Excitation Tables (Bonus Slide) EEL3701 EEL3701. Registers, RALU, Asynch, Synch Menu Registers >Storage Registers >Shift Registers More LSI Components >Arithmetic-Logic Units (ALUs) > Carry-Look-Ahead Circuitry (skip this) Asynchronous versus Synchronous Look into my... 1 Excitation

More information

A crash course in Digital Logic

A crash course in Digital Logic crash course in Digital Logic Computer rchitecture 1DT016 distance Fall 2017 http://xyx.se/1dt016/index.php Per Foyer Mail: per.foyer@it.uu.se Per.Foyer@it.uu.se 2017 1 We start from here Gates Flip-flops

More information

Processor Design & ALU Design

Processor Design & ALU Design 3/8/2 Processor Design A. Sahu CSE, IIT Guwahati Please be updated with http://jatinga.iitg.ernet.in/~asahu/c22/ Outline Components of CPU Register, Multiplexor, Decoder, / Adder, substractor, Varity of

More information

Register Transfer Level (RTL) Design based on Vahid chap. 5

Register Transfer Level (RTL) Design based on Vahid chap. 5 CSE4: Components and Design Techniques for Digital Systems Register Transfer Level (RTL) Design based on Vahid chap. 5 Tajana Simunic Rosing RTL Design Method RTL Design example: Laser-Based Distance Measurer

More information

CHW 261: Logic Design

CHW 261: Logic Design CHW 26: Logic Design Instructors: Prof. Hala Zayed Dr. Ahmed Shalaby http://www.bu.edu.eg/staff/halazayed4 http://bu.edu.eg/staff/ahmedshalaby4# Slide Digital Fundamentals Digital Concepts Slide 2 What?

More information

Administrivia. Course Objectives. Overview. Lecture Notes Week markem/cs333/ 2. Staff. 3. Prerequisites. 4. Grading. 1. Theory and application

Administrivia. Course Objectives. Overview. Lecture Notes Week markem/cs333/ 2. Staff. 3. Prerequisites. 4. Grading. 1. Theory and application Administrivia 1. markem/cs333/ 2. Staff 3. Prerequisites 4. Grading Course Objectives 1. Theory and application 2. Benefits 3. Labs TAs Overview 1. What is a computer system? CPU PC ALU System bus Memory

More information

Assignment # 3 - CSI 2111(Solutions)

Assignment # 3 - CSI 2111(Solutions) Assignment # 3 - CSI 2111(Solutions) Q1. Realize, using a suitable PLA, the following functions : [10 marks] f 1 (x,y,z) = Σm(0,1,5,7) f 2 (x,y,z) = Σm(2,5,6) f 3 (x,y,z) = Σm(1,4,5,7) f 4 (x,y,z) = Σm(0,3,6)

More information

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING DIGITAL

More information

Quantum Computing Virtual Machine. Author: Alexandru Gheorghiu Scientific advisor: PhD. Lorina Negreanu

Quantum Computing Virtual Machine. Author: Alexandru Gheorghiu Scientific advisor: PhD. Lorina Negreanu Quantum Computing Virtual Machine Author: Alexandru Gheorghiu Scientific advisor: PhD. Lorina Negreanu Quantum Computing Virtual Machine Quantum Computing Computer science + quantum mechanics = quantum

More information

Logic and Computer Design Fundamentals. Chapter 5 Arithmetic Functions and Circuits

Logic and Computer Design Fundamentals. Chapter 5 Arithmetic Functions and Circuits Logic and Computer Design Fundamentals Chapter 5 Arithmetic Functions and Circuits Arithmetic functions Operate on binary vectors Use the same subfunction in each bit position Can design functional block

More information

Assembly Programming through Arduino

Assembly Programming through Arduino 1 Assembly Programming through Arduino G V V Sharma Contents 1 Components 1 2 Seven Segment Display 1 2.1 Hardware Setup....... 1 2.2 Software Setup........ 2 2.3 Controlling the Display... 2 3 Display

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 3 Combinational Logic Circuits ELEN0040 3-4 1 Combinational Functional Blocks 1.1 Rudimentary Functions 1.2 Functions

More information

Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs

Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs Article Reduced-Area Constant-Coefficient and Multiple-Constant Multipliers for Xilinx FPGAs with 6-Input LUTs E. George Walters III Department of Electrical and Computer Engineering, Penn State Erie,

More information

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary EECS50 - Digital Design Lecture - Shifters & Counters February 24, 2003 John Wawrzynek Spring 2005 EECS50 - Lec-counters Page Register Summary All registers (this semester) based on Flip-flops: q 3 q 2

More information

IMPERIAL COLLEGE OF SCIENCE, TECHNOLOGY AND MEDICINE UNIVERSITY OF LONDON DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING EXAMINATIONS 2005

IMPERIAL COLLEGE OF SCIENCE, TECHNOLOGY AND MEDICINE UNIVERSITY OF LONDON DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING EXAMINATIONS 2005 Paper Number(s): E2. IMPERIL OLLEGE OF SIENE, TEHNOLOGY ND MEDIINE UNIVERSITY OF LONDON DEPRTMENT OF ELETRIL ND ELETRONI ENGINEERING EXMINTIONS 2005 EEE/ISE PRT II: MEng, BEng and GI DIGITL ELETRONIS 2

More information

Preparation of Examination Questions and Exercises: Solutions

Preparation of Examination Questions and Exercises: Solutions Questions Preparation of Examination Questions and Exercises: Solutions. -bit Subtraction: DIF = B - BI B BI BO DIF 2 DIF: B BI 4 6 BI 5 BO: BI BI 4 5 7 3 2 6 7 3 B B B B B DIF = B BI ; B = ( B) BI ( B),

More information

Basic Computer Organization and Design Part 3/3

Basic Computer Organization and Design Part 3/3 Basic Computer Organization and Design Part 3/3 Adapted by Dr. Adel Ammar Computer Organization Interrupt Initiated Input/Output Open communication only when some data has to be passed --> interrupt. The

More information

Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc.

Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc. Finite State Machines Introduction Let s now begin to formalize our analysis of sequential machines Powerful methods for designing machines for System control Pattern recognition Etc. Such devices form

More information

MS BA01 Variometer Module, with LCP cap

MS BA01 Variometer Module, with LCP cap High resolution module, 10 cm Fast conversion down to 1 ms Low power, 1 µa (standby < 0.15 µa) QFN package 5.0 x 3.0 x 1.7 mm 3 Supply voltage 1.8 to 3.6 V Integrated digital pressure sensor (24 bit ΔΣ

More information

From Sequential Circuits to Real Computers

From Sequential Circuits to Real Computers From Sequential Circuits to Real Computers Lecturer: Guillaume Beslon Original Author: Lionel Morel Computer Science and Information Technologies - INSA Lyon Fall 2018 1 / 39 Introduction I What we have

More information

COMPUTER SCIENCE TRIPOS

COMPUTER SCIENCE TRIPOS CST.2016.2.1 COMPUTER SCIENCE TRIPOS Part IA Tuesday 31 May 2016 1.30 to 4.30 COMPUTER SCIENCE Paper 2 Answer one question from each of Sections A, B and C, and two questions from Section D. Submit the

More information

MS BA01 Miniature Altimeter Module

MS BA01 Miniature Altimeter Module High resolution module, 20 cm Fast conversion down to 0.5 ms Low power, 0.6 µa (standby < 0.15 µa at 25 C) Integrated digital pressure sensor (24 bit ΔΣ AC) Supply voltage 1.8 to 3.6 V Operating range:

More information

Digital Circuits, Binary Numbering, and Logic Gates Cornerstone Electronics Technology and Robotics II

Digital Circuits, Binary Numbering, and Logic Gates Cornerstone Electronics Technology and Robotics II Digital Circuits, Binary Numbering, and Logic Gates Cornerstone Electronics Technology and Robotics II Administration: o Prayer Electricity and Electronics, Section 20.1, Digital Fundamentals: o Fundamentals:

More information

Models of Computation, Recall Register Machines. A register machine (sometimes abbreviated to RM) is specified by:

Models of Computation, Recall Register Machines. A register machine (sometimes abbreviated to RM) is specified by: Models of Computation, 2010 1 Definition Recall Register Machines A register machine (sometimes abbreviated M) is specified by: Slide 1 finitely many registers R 0, R 1,..., R n, each capable of storing

More information

VFD- RoHS Compliant M0116MY-161LSBR2-1. User s Guide. (Vacuum Fluorescent Display Module) For product support, contact

VFD- RoHS Compliant M0116MY-161LSBR2-1. User s Guide. (Vacuum Fluorescent Display Module) For product support, contact User s Guide M0116MY-161LSBR2-1 VF- RoHS Compliant (Vacuum Fluorescent isplay Module) For product support, contact Newhaven isplay International 2511 Technology rive, #101 Elgin, IL 60124 Tel: (847) 844-8795

More information

Vidyalankar S.E. Sem. III [INFT] Analog and Digital Circuits Prelim Question Paper Solution

Vidyalankar S.E. Sem. III [INFT] Analog and Digital Circuits Prelim Question Paper Solution . (a). (b) S.E. Sem. III [INFT] Analog and Digital Circuits Prelim Question Paper Solution Practical Features of OpAmp (A 74) i) Large voltage gain (of the order of 2 0 5 ) ii) Very high input resistance

More information

PART TEMP RANGE PIN-PACKAGE

PART TEMP RANGE PIN-PACKAGE 9-0850; Rev 4; 6/0 Ω μ INT INT μ PART TEMP RANGE PIN-PACKAGE MAX7359ETG+ -40 C to +85 C 24 TQFN-EP* MAX7359EWA+ -40 C to +85 C 25 WLP * TOP VIEW AD0 GND IC COL0 8 7 6 5 4 3 INPUT +62V TO +36V V CC MAX7359

More information

Synchronous Sequential Logic

Synchronous Sequential Logic 1 IT 201 DIGITAL SYSTEMS DESIGN MODULE4 NOTES Synchronous Sequential Logic Sequential Circuits - A sequential circuit consists of a combinational circuit and a feedback through the storage elements in

More information

Practice Homework Solution for Module 4

Practice Homework Solution for Module 4 Practice Homework Solution for Module 4 1. Tired of writing the names of those you want kicked off the island on cards, you wish to modernize the voting scheme used on Digital Survivor. Specifically, you

More information

User's Guide. DISTO online. Leica Geosystems

User's Guide. DISTO online. Leica Geosystems User's Guide DISTO online Leica Geosystems Copyright 2001 by PMS Photo Mess Systeme AG. All rights reserved. This manual describes the versions 2.x of the program DISTO online. PMS PHOTO-MESS-SYSTEME AG

More information

4.2.2 B X_BE ( BX i s B elow o r E qual) is a symbolic location JNBE / JA instruction if Not (Below or Equal) or if Above No Ex. Cy = 0 AND Z= 0

4.2.2 B X_BE ( BX i s B elow o r E qual) is a symbolic location JNBE / JA instruction if Not (Below or Equal) or if Above No Ex. Cy = 0 AND Z= 0 4.2 Terms used in comparison Above and Below used for comparing Unsig ned numbers. Greater than and less than used when c omparing signed numbers. All Intel microprocessors use this conventio n. Accordingly,

More information

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters)

EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) EECS150 - Digital Design Lecture 17 - Sequential Circuits 3 (Counters) March 19&21, 2002 John Wawrzynek Spring 2002 EECS150 - Lec13-seq3 version 2 Page 1 Counters Special sequential circuits (FSMs) that

More information

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

Computers also need devices capable of Storing data and information Performing mathematical operations on such data Sequential Machines Introduction Logic devices examined so far Combinational Output function of input only Output valid as long as input true Change input change output Computers also need devices capable

More information

Computer Architecture

Computer Architecture Computer Architecture QtSpim, a Mips simulator S. Coudert and R. Pacalet January 4, 2018..................... Memory Mapping 0xFFFF000C 0xFFFF0008 0xFFFF0004 0xffff0000 0x90000000 0x80000000 0x7ffff4d4

More information

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS Unit : I - V Unit : I Overview Fundamentals of Computers Characteristics of Computers Computer Language Operating Systems Generation of Computers 2 Definition of

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS150 - Digital Design Lecture 18 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS150 - Lec18-counters Page 1 Counters Special sequential circuits (FSMs) that sequence though a set outputs.

More information

EECS150 - Digital Design Lecture 18 - Counters

EECS150 - Digital Design Lecture 18 - Counters EECS50 - Digital Design Lecture 8 - Counters October 24, 2002 John Wawrzynek Fall 2002 EECS50 - Lec8-counters Page Counters Special sequential circuits (FSMs) that sequence though a set outputs. Examples:

More information

Number Systems 1(Solutions for Vol 1_Classroom Practice Questions)

Number Systems 1(Solutions for Vol 1_Classroom Practice Questions) Chapter Number Systems (Solutions for Vol _Classroom Practice Questions). ns: (d) 5 x + 44 x = x ( x + x + 5 x )+( x +4 x + 4 x ) = x + x + x x +x+5+x +4x+4 = x + x + x 5x 6 = (x6) (x+ ) = (ase cannot

More information

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department Page of COE 22: Digital Logic Design (3--3) Term (Fall 22) Final Exam Sunday January

More information

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1

Lab 3 Revisited. Zener diodes IAP 2008 Lecture 4 1 Lab 3 Revisited Zener diodes R C 6.091 IAP 2008 Lecture 4 1 Lab 3 Revisited +15 Voltage regulators 555 timers 270 1N758 0.1uf 5K pot V+ V- 2N2222 0.1uf V o. V CC V Vin s = 5 V Vc V c Vs 1 e t = RC Threshold

More information

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3 MOSIS REPORT Spring 2010 MOSIS Report 1 MOSIS Report 2 MOSIS Report 3 MOSIS Report 1 Design of 4-bit counter using J-K flip flop I. Objective The purpose of this project is to design one 4-bit counter

More information

Product Data Sheet KyoRack 2

Product Data Sheet KyoRack 2 series - PANEL MOUNT PRINTERS Page 1 of 10 5-8Vdc, 4A peak 5-8Vdc, 2A peak 10-35Vdc Features Easy Open paper feature High resolution thermal printing 5-8Vdc standard, 10-35Vdc / low power options Kwik

More information

Introduction to CMOS VLSI Design Lecture 1: Introduction

Introduction to CMOS VLSI Design Lecture 1: Introduction Introduction to CMOS VLSI Design Lecture 1: Introduction David Harris, Harvey Mudd College Kartik Mohanram and Steven Levitan University of Pittsburgh Introduction Integrated circuits: many transistors

More information

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) ELEC 2200-002 Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10) Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering

More information

MAN-SGY-012-V11 EC 5195 Fairford Electronics Ltd - Synergy Modbus RTU Programming Manual - 22nd February 2016 Page 1 of 59.

MAN-SGY-012-V11 EC 5195 Fairford Electronics Ltd - Synergy Modbus RTU Programming Manual - 22nd February 2016 Page 1 of 59. MAN-SGY-012-V11 EC 5195 Fairford Electronics Ltd - Synergy Modbus RTU Programming Manual - 22nd February 2016 Page 1 of 59 Modbus RTU MAN-SGY-012-V11 EC 5195 Fairford Electronics Ltd - Synergy Modbus RTU

More information

CRYSTAL CLEAR TECHNOLOGY SDN. BHD. Spec. No: CMC216-01

CRYSTAL CLEAR TECHNOLOGY SDN. BHD. Spec. No: CMC216-01 LCD Module Specification 1.0 Table of Contents Page 1. Cover & Contents 1 2. Record of revision 2 3. General specification 3 4. Absolute maximum ratings 4 5. Electrical characteristics 4 6. Environmental

More information

CA Compiler Construction

CA Compiler Construction CA4003 - Compiler Construction Code Generation to MIPS David Sinclair Code Generation The generation o machine code depends heavily on: the intermediate representation;and the target processor. We are

More information