Lecture 6. Thermal-Aware Design

Size: px
Start display at page:

Download "Lecture 6. Thermal-Aware Design"

Transcription

1 Summer Course: Advanced Topics in Modern VLSI design Lecture 6. Thermal-Aware Design Instructor: Yuan Xie Course website:

2 Motivation Outline Run-time Temperature Mitigation Solutions Dynamic Thermal Management Activity Migrations Design time thermal-aware techniques Design Time Temperature Estimation Thermal-Aware Floorplanning Thermal-Aware Allocation and Scheduling Thermal-Aware Loop Parallelization for CMP 2/50

3 What is the thermal impact? Performance Interconnect delay increases & MOS current drive capability decreases with temperature increase. Power: Leakage current increases exponentially with temperature increase. Temperature vs. Delay (Source: H. Amir, VLSI Technology 2001) Temperature vs. Leakage (Source: V. De, ISLPED 1999) 3/50

4 Reliability: What is the thermal impact? Cost: e.g.: electromigration (EM), time-dependent cost of cooling is $1-3 or more per dielectric (gate oxide) breakdown (TDDB) Watt when the average power exceeds are all significantly accelerated 40 Watts (Intel Technology Journal, Q1, 2001) Tpeak (65nm) = Tpeak(180nm)+15 C Failure rate (65nm) processor is 316% higher than the Failure rate at 180nm (IBM DSN 2004) 4/50

5 Thermal Packaging is Expensive P4 packaging Heating and therefore cooling costs are rising exponentially Currently $2-3/W 5/50

6 What is the thermal impact? Performance Cost a. higher temperature lower performance a d b. higher temperature higher leakage power c. higher temperature higher hard error rate b e Temperature c d. higher temperature higher cooling cost e. higher power density higher temperature Power Reliability 6/50

7 温度与功耗密度 (power density) 的关系 Power Map On-Die Temperature Heat Flux (W/cm2) Temperature (C) Heat dissipation => temperature, higher power density => higher temperature Power density is not uniformly distributed across the chip Intel Pentium 4: (0.18 um) mm 2 Intel Pentium 4: (90 nm) 112 mm 2 7/50

8 一些芯片温度的例子 IBM Power G4 die temperature profile 8/50

9 一些芯片温度的例子 9/50

10 一些芯片温度的例子 10/50

11 Apple Power G 年号称最快的台式机 问题 : 机箱里有多少风扇? 答案 : 21 thermal sensors, 9 fans 11/50

12 Motivation Outline Run-time Temperature Mitigation Solutions Dynamic Thermal Management Activity Migrations Design time thermal-aware techniques Design Time Temperature Estimation Thermal-Aware Floorplanning Thermal-Aware Allocation and Scheduling Thermal-Aware Loop Parallelization for CMP Thermal issues in 3D Chip Design What is 3D Chip? Will temperature be a showstopper for 3D adoption? 12/50

13 DTM Trigger Mechanisms Mechanism: How to deduce chip temperature? Direct approach: on-chip temperature sensors Based on differential voltage change across two diodes of different sizes May require more than one sensor Policy: When to begin responding? Trigger level set too high means higher packaging costs Trigger level set too low means frequent triggering and loss in performance 13/50

14 Dynamic Thermal Management (DTM) Trigger Mechanism: When do we enable DTM techniques? Brooks et. al. Initiation Mechanism: How do we enable technique? Response Mechanism: What technique do we enable? 14/50

15 temperature DTM Activation and Deactivation Cycle Cooling capacity without DTM Cooling capacity with DTM DTM trigger level savings Trigger Reached Turn Response On Check Temp Check Temp Turn Response Off InitiationResponse Delay Delay Policy Delay Shutoff Delay Initiation Delay OS interrupt/handler Response Delay Invocation time (adjust clock, V DD ) Policy Delay Number of cycles engaged Shutoff Delay Disabling time (re-adjust clock, V DD ) 15/50

16 An Example of DFS and DVS Transmeta LongRun 32 levels of V DD from 1.1V to 1.6V Frequency from 200MHz to 700MHz in 33MHz incrs. heavier load detected lighter load detected f V DD 16/50

17 Fetch Gating/ I-cache Toggling Disable the instruction fetch unit (I-$ and branch prediction) Fetch unit can be disabled every N cycles until disengaged, N=1,2~n At microarchitecture level and have less performance loss compared to DVS/DFS Performance slows down 12% while 22% for DVS/DFS Brooks and Martonosi, HPCA /50

18 Migrating Computation When one unit overheats, migrate its functionality to a distant, spare unit Spare register file (Skadron et al. 2003) Separate core (CMP) (Heo et al. ISLPED 2003) Microarchitectural clusters (Intel, ICCD 2004) Raises many interesting issues Cost-benefit tradeoff for that area Use both resources (scheduling) Extra overhead for long-distance communication Floorplanning 18/50

19 Migrating Computation Two units run hot will tend to run even hotter when adjacent Observation: Integer register file is the hottest! Stall the primary IntRegFile and use the secondary IntRegFile. One extra cycle latency incurred for longer communication distance. 19/50

20 Activity Migration to Reduce Peak Temp Using Spare unit. When one unit overheats, migrate its functionality to a distant, spare unit T max T H Temperature ( C) T L T a t cycle t cool t heat Time (s) 20/50

21 Migration Types Type 1-Time-based:Migrate between units every n clock cycles Insensitive to dynamic temp. fluctuations May cause too much performance overhead No need for temp sensing Type 2- Primary/Secondary Migration: Migrate between primary and secondary unit based on two temperature thresholds Secondary may overheat while waiting for the primary to cool Difficult to choose thresholds well Type 3: Swapping Migration: Migrate amongst units based on one temperature threshold When unit A hits threshold, move to unit B When unit B hits threshold, move to unit A Ref: T.Richardson and Y.Xie, ASICON /50

22 Thermal Scheduling (Intel 2002) Primary FE DE OOP EX Majority mobile apps with performance requirements Secondary RF Primary pipeline: maximal performance, complex pipeline structure Second pipeline: Minimum power and energy consumption, very simple in order structure and target mobile anywhere-anytime applications. Transparent to OS and applications DE IOP Text , caller-id, reminder and other none high performance w/ anywhereanytime requested apps Ref: Cai. Et al. ISQED /50

23 Reference: DTM Techniques Dynamic volt./freq. scaling (DVS/DFS)(Transmeta, Pentium M) Clock gating (PowerPC, Pentium III/4, Gunther, Intel 01) Fetch gating or toggling (Brooks, HPCA 01) Speculation control/pipeline gating (Manne, ISCA 98) Dual pipeline (Lim, ISQED 02) Dynamic energy efficiency and temperature management (DEETM) (Huang, Micro 00) Feedback-controlled fetch gating (Skadron, HPCA 02) Temperature-tracking frequency scaling (Skadron, ISCA 03) Migrating computation (Skadron, ISCA 03) 24/50

24 Motivation Outline Run-time Temperature Mitigation Solutions Dynamic Thermal Management Activity Migrations Design time thermal-aware techniques Design Time Temperature Estimation Thermal-Aware Floorplanning Thermal-Aware Allocation and Scheduling Thermal-Aware Loop Parallelization for CMP Thermal issues in 3D Chip Design What is 3D Chip? Will temperature be a showstopper for 3D adoption? 25/50

25 Design-time Thermal-Aware techniques My group: Thermal-Aware IP Virtualization and Placement for NOC, ICCD 2004 Thermal-Aware Allocation and Scheduling for MPSOC Design DATE 2005 Thermal-Aware Floorplanning Using Genetic Algorithms ISQED 2005 Thermal-Aware Voltage-island architecting, ICCD 2005 Thermal-Aware Loop Parallelization for CMP, ICCD 2005 Thermal Issues for on-chip bus, DATE 2006 Thermal Issues in 3D Chip (ISQED 2006, ISCA 2006, JETC 2006) Other groups: National Tsinghua Univ./ National Taiwan Univ./Northwestern Univ./ UT.Austin/UIUC/Wisconsin etc. Industry: Gradient Design Automation (a start-up showcases at DAC 2006) 26/50

26 Compact thermal model Electrical-thermal duality V temp (T) I power (P) R thermal resistance (Rth) C thermal capacitance (Cth) Kirchoff Current Law differential eq. I = C dv/dt + V/R thermal domain P = Cth dt/dt + T/Rth where T = Thot Tamb Thot Tamb 27/50

27 Thermal modeling Want a fine-grained, dynamic model of temperature That accounts for adjacency and package That does not require detailed designs That is fast enough for practical use Need a compact model based on thermal R, C Parameterized to automatically derive a model based on various Architectures Power models Floorplans Thermal Packages 28/50

28 Package Heat sink Heat spreader PCB IC Package Pin Die Interface material 29/50

29 Thermal Estimation Tool Power dissipations can come from any power simulator, act as current sources in RC circuit ('P' vector in the equations) Requires models of Floorplan: important for adjacency Package: important for spreading and time constants R and C matrices are derived from the above HS3D, PennState, 30/50

30 Thermal-Aware Floorplanning * * + * 1 + : cut horizontally * : cut vertically Polish Expression : 2 3 * * + * 31/50

31 Floorplanning Encoding chromosome A * chromosome B * 1 * Rotate Slicing 4 32/50

32 Design-time thermal-aware Floorplanning GA Framework Area Estimates Power consumption profile Floorplan info. HotSpot Temp. Extracts Fitness Evaluation 33/50

33 Example 34/50

34 Temperature ('C) Example Area vs Temperature Balanced Optim. (max) apte xerox hp ami33 ami49 Circuits area opt. area opt.+t. Reference: Thermal-Aware Floorplanning using Genetic Algorithms, W.Hung, Y. Xie Proc. of Intl Symposium on Quality Electronic Device, /50

35 Motivation Outline Why temperature-aware design is important Chip examples Run-time Temperature Mitigation Solutions Dynamic Thermal Management Activity Migrations Design time thermal-aware techniques Design Time Temperature Estimation Thermal-Aware Floorplanning Thermal-Aware Allocation and Scheduling Thermal-Aware Loop Parallelization for CMP 36/50

36 Thermal-aware allocation and scheduling HW/SW co-synthesis is the first step in embedded system designs. Prior work only consider traditional design metrics (performance /power/area) Thermal-aware approach in tasks allocation and scheduling. Reference: Thermal-Aware Allocation and Scheduling for MPSOC Design, W. Hung, Y. Xie et al. (DATE 2005) 37/50

37 What is co-synthesis Technology Library Task graph Co-Synthesis Interface Allocation & Scheduling Procedure No Meets requirement? Yes Target architecture & Tasks mapping 38/50

38 Allocation and Scheduling both (a) and (b) meet timing requirement, which one has better thermal profile? 39/50

39 Thermal-Aware Allocation and Scheduling platform-based and co-synthesis architecture. Technology Library Task graph Co-Synthesis Interface Pre-defined platform Task graph Allocation & Scheduling Procedure Platform-based System Interface No Thermal-Aware Floorplanning Meets requirement? HotSpot Tool Temperature Extraction Allocation & Scheduling Procedure Solution (a) Solution (b) 40/50

40 Comparison of Power-aware vs. Thermalaware heuristics 41/50

41 The Trends of CMP 1. How do we feed so many bunnies? 2. Will they get hot? 3. How to train them? Intel researchers and scientists are experimenting with "many tens of cores, potentially even hundreds of cores per die, per single processor die... Justin R. Rattner, Intel director of the Corporate Technology Group, Spring 2005 IDF 42/50

42 Loop Parallelization for CMP for (i=1; i<=600; i++) for (j=1; j<=1000; j++) B[i][j] = (A[i-1][j] + A[i+1][j] + A[i][j-1] + A[i][j+1]) / 4; Jacobi s Algorithm Iteration Core Time chunk number Slot number Time P P P P P P 5 P 6 P 7 Parallel Schedule for (i=k*120+1; i<=(k+1)*120; i++) for (j=1; j<=1000; j++) B[i][j] = (A[i-1][j] + A[i+1][j] + A[i][j-1] + A[i][j+1]) / 4; Parallelized Algorithm for 5 cores 43/50

43 Temperature Aware Scheduling Time P P P P P P 5 P 6 Original Schedule P 7 Slot P P P P P P P P Slot P 0 P 1 P 2 P 3 P 4 P 5 P 6 P 7 Slot P 0 P 1 P 2 P 3 P 4 P 5 P 6 P 7 44/50

44 Locality and temperature aware scheduling Algorithm Use temperature aware scheduling to obtain the schedulable slots. Use locality aware scheduling to assign chunks to these slots. Great Locality No temperature problems Good performance Analysis - Best of both worlds C = { I 0, I 1, I 2, I 3, I 4 } C = { } Time P 0 P 1 P 2 P 3 P 4 P 5 P 6 P 7 Time P 0 P 1 P 2 P 3 P 4 P 5 P 6 P /50

45 Thermal issues for Bus Which bus line is the hottest? 46/50

46 Activities Spreading Encoding TSMC 90nm 4151 um uw. To compare: T0CAC 9243 um mw. 47/50

47 Activities Spreading Encoding 48/50

48 Activities Spreading Encoding Ref: On-Chip Bus Thermal Analysis and Optimization, Feng Wang, DATE /50

49 Motivation Outline Run-time Temperature Mitigation Solutions Dynamic Thermal Management Activity Migrations Design time thermal-aware techniques Design Time Temperature Estimation Thermal-Aware Floorplanning Thermal-Aware Allocation and Scheduling Thermal-Aware Loop Parallelization for CMP Thermal issues in 3D Chip Design What is 3D Chip? Will temperature be a showstopper for 3D adoption? 50/50

50 Thermal issues in 3D Reduction of global interconnect L L Power Density increases! Will Thermal Be a Showstopper for 3D architecture? 51/50

51 Key EDA Challenges to Enable 3D Architecture Under the Temperature Constraints Tools for Early Analysis To estimate temperature (e.g. HS3D, HotSpot3.0) To study the tradeoff: performance vs. power vs. temperature (e.g. ICCD 2005) -- How many layers? -- Power reduction benefits from 3D may help mitigate thermal issues 52/50

52 Key EDA Challenges to Enable 3D Architecture Under the Temperature Constraints Thermal-aware 3D Design 3D Physical Design tools must be thermal-aware Vertical optimization Horizontal optimization (e.g. 3D ISQED 06, 3D Mem-in-net@ ISCA 06) Thermal Via planning (similar to the elevator in highrise) (e.g. GIT/UMN/UCLA research) 53/50

53 Example: Thermal-aware 3D floorplanning An Alpha-like verilog implementation was used. The design was synthesized in both 160nm and 90nm at the granularity of functional module level. The power values for both modules and interconnects are obtained. fetch0 fetch1 fetch2 L1 Ins Cache S-ALU0 AGEN0 4x Decoder TLB Rename0 Rename1 Rename2 S-ALU1 AGEN1 Arch RAT Scheduler Register File C-ALU L1 Data Cache ReOrder Buffer TLB Fetch Decode Rename Schedule RegRead Execute Retire Ref: W.Hung, Y. Xie. Et al. ISQED /50

54 B*-tree floorplan model B*-tree was originally designed for 2D floorplanning. In our 3D model, each layer is represented by one specific B*-tree. Six perturbations are used in exploring design space (the last two are intended for interlayer operations.) 1. Node swap, 2. Rotation (change orientation), 3. Move, 4. Resize (for soft module), 5. Interlayer swap, 6. Interlayer move. 55/50

55 Temperature profiles Temperature('C) avgt avgt(int) peakt peakt(int) Temperature Profile 30 2D 2D(Ther) 3D 3D(Ther) Architecture configuration Temperature('C) peakt(160) peakt(int-160) peakt(90) peakt(int-90) Temperature Profile (160 vs 90) 2D 2D(Ther) 3D 3D(Ther) Architecture configuration 56/50

56 What other problems you can tackle? Thermal-aware xxxxx Testing? High level synthesis? Can you find other applications??? Check Hotspot 3 57/50

57 Conclusion Power dissipation => Heat => temperature BUT power-aware design alone is not able to address the temperature challenge, many low-power techniques have insufficient impact on chip temperature Why? Because they do not directly target the spatial and temporal behavior of the operating temperature. Conclusion: thermal-aware design itself is a distinct and important research area, even though it is related to the poweraware design area. For example, Thermal-Aware Computer System (TACS) Workshop is now associated with ISCA starting from /50

A Novel Software Solution for Localized Thermal Problems

A Novel Software Solution for Localized Thermal Problems A Novel Software Solution for Localized Thermal Problems Sung Woo Chung 1,* and Kevin Skadron 2 1 Division of Computer and Communication Engineering, Korea University, Seoul 136-713, Korea swchung@korea.ac.kr

More information

Temperature Aware Floorplanning

Temperature Aware Floorplanning Temperature Aware Floorplanning Yongkui Han, Israel Koren and Csaba Andras Moritz Depment of Electrical and Computer Engineering University of Massachusetts,Amherst, MA 13 E-mail: yhan,koren,andras @ecs.umass.edu

More information

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage Lei He, Weiping Liao and Mircea R. Stan EE Department, University of California, Los Angeles 90095 ECE Department,

More information

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan,

More information

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning

Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Micro-architecture Pipelining Optimization with Throughput- Aware Floorplanning Yuchun Ma* Zhuoyuan Li* Jason Cong Xianlong Hong Glenn Reinman Sheqin Dong* Qiang Zhou *Department of Computer Science &

More information

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling Tam Chantem Electrical & Computer Engineering High performance Energy efficient Multicore Systems High complexity

More information

Implications on the Design

Implications on the Design Implications on the Design Ramon Canal NCD Master MIRI NCD Master MIRI 1 VLSI Basics Resistance: Capacity: Agenda Energy Consumption Static Dynamic Thermal maps Voltage Scaling Metrics NCD Master MIRI

More information

Analytical Model for Sensor Placement on Microprocessors

Analytical Model for Sensor Placement on Microprocessors Analytical Model for Sensor Placement on Microprocessors Kyeong-Jae Lee, Kevin Skadron, and Wei Huang Departments of Computer Science, and Electrical and Computer Engineering University of Virginia kl2z@alumni.virginia.edu,

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

Enhancing the Sniper Simulator with Thermal Measurement

Enhancing the Sniper Simulator with Thermal Measurement Proceedings of the 18th International Conference on System Theory, Control and Computing, Sinaia, Romania, October 17-19, 214 Enhancing the Sniper Simulator with Thermal Measurement Adrian Florea, Claudiu

More information

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 13: Power & Energy Slides developed by Milo Mar0n & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin slides by

More information

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Shahin Golshan 1, Eli Bozorgzadeh 1, Benamin C Schafer 2, Kazutoshi Wakabayashi 2, Houman Homayoun 1 and Alex Veidenbaum

More information

A Short Tutorial on Thermal Modeling and Management

A Short Tutorial on Thermal Modeling and Management A Short Tutorial on Thermal Modeling and Management Kevin Skadron, Mircea Stan, co-pis Wei Huang, Karthik Sankaranaryanan Univ. of Virginia HotSpot group Cooking-aware computing Some chips rated for 100

More information

Simulated Annealing Based Temperature Aware Floorplanning

Simulated Annealing Based Temperature Aware Floorplanning Copyright 7 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Low Power Electronics Vol. 3, 1 15, 7 Simulated Annealing Based Temperature Aware Floorplanning

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach Hwisung Jung, Massoud Pedram Outline Introduction Background Thermal Management Framework Accuracy of Modeling Policy Representation

More information

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics

Lecture 23. Dealing with Interconnect. Impact of Interconnect Parasitics Lecture 23 Dealing with Interconnect Impact of Interconnect Parasitics Reduce Reliability Affect Performance Classes of Parasitics Capacitive Resistive Inductive 1 INTERCONNECT Dealing with Capacitance

More information

Temperature-Aware Analysis and Scheduling

Temperature-Aware Analysis and Scheduling Temperature-Aware Analysis and Scheduling Lothar Thiele, Pratyush Kumar Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Evaluating Overheads of Multi-bit Soft Error Protection Techniques at Hardware Level Sponsored by SRC and Freescale under SRC task number 2042

Evaluating Overheads of Multi-bit Soft Error Protection Techniques at Hardware Level Sponsored by SRC and Freescale under SRC task number 2042 Evaluating Overheads of Multi-bit Soft Error Protection Techniques at Hardware Level Sponsored by SR and Freescale under SR task number 2042 Lukasz G. Szafaryn, Kevin Skadron Department of omputer Science

More information

Mitigating Semiconductor Hotspots

Mitigating Semiconductor Hotspots Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919) 485-5509 slee@nextremethermal.com www.nextremethermal.com 1 Agenda Motivation

More information

Technology Mapping for Reliability Enhancement in Logic Synthesis

Technology Mapping for Reliability Enhancement in Logic Synthesis Technology Mapping for Reliability Enhancement in Logic Synthesis Zhaojun Wo and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts,Amherst,MA 01003 E-mail: {zwo,koren}@ecs.umass.edu

More information

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp 2-7.1 Spiral 2 7 Capacitance, Delay and Sizing Mark Redekopp 2-7.2 Learning Outcomes I understand the sources of capacitance in CMOS circuits I understand how delay scales with resistance, capacitance

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

TEMPERATURE-AWARE COMPUTER SYSTEMS: OPPORTUNITIES AND CHALLENGES

TEMPERATURE-AWARE COMPUTER SYSTEMS: OPPORTUNITIES AND CHALLENGES TEMPERATURE-AWARE COMPUTER SYSTEMS: OPPORTUNITIES AND CHALLENGES TEMPERATURE-AWARE DESIGN TECHNIQUES HAVE AN IMPORTANT ROLE TO PLAY IN ADDITION TO TRADITIONAL TECHNIQUES LIKE POWER-AWARE DESIGN AND PACKAGE-

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Zhe Wang, Sanjay Ranka and Prabhat Mishra Dept. of Computer and Information Science and Engineering University of Florida,

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Introduction to CMOS VLSI Design Lecture 16: Circuit Pitfalls David Harris Harvey Mudd College Spring 2004 Outline Pitfalls Detective puzzle Given circuit and symptom, diagnose cause and recommend solution

More information

Granularity of Microprocessor Thermal Management: A Technical Report

Granularity of Microprocessor Thermal Management: A Technical Report Granularity of Microprocessor Thermal Management: A Technical Report Karthik Sankaranarayanan, Wei Huang, Mircea R. Stan, Hossein Haj-Hariri, Robert J. Ribando and Kevin Skadron Department of Computer

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

HIGH-PERFORMANCE circuits consume a considerable

HIGH-PERFORMANCE circuits consume a considerable 1166 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL 17, NO 11, NOVEMBER 1998 A Matrix Synthesis Approach to Thermal Placement Chris C N Chu D F Wong Abstract In this

More information

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006

Managing Physical Design Issues in ASIC Toolflows Complex Digital Systems Christopher Batten February 21, 2006 Managing Physical Design Issues in ASI Toolflows 6.375 omplex Digital Systems hristopher Batten February 1, 006 Managing Physical Design Issues in ASI Toolflows Logical Effort Physical Design Issues lock

More information

School of EECS Seoul National University

School of EECS Seoul National University 4!4 07$ 8902808 3 School of EECS Seoul National University Introduction Low power design 3974/:.9 43 Increasing demand on performance and integrity of VLSI circuits Popularity of portable devices Low power

More information

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits

Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits E = B; H = J + D D = ρ ; B = 0 D = ρ ; B = 0 Yehia Massoud ECE Department Rice University Grasping The Deep Sub-Micron Challenge in POWERFUL Integrated Circuits ECE Affiliates 10/8/2003 Background: Integrated

More information

FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration

FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration FastSpot: Host-Compiled Thermal Estimation for Early Design Space Exploration Darshan Gandhi, Andreas Gerstlauer, Lizy John Electrical and Computer Engineering The University of Texas at Austin Email:

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

USING ON-CHIP EVENT COUNTERS FOR HIGH-RESOLUTION, REAL-TIME TEMPERATURE MEASUREMENT 1

USING ON-CHIP EVENT COUNTERS FOR HIGH-RESOLUTION, REAL-TIME TEMPERATURE MEASUREMENT 1 USING ON-CHIP EVENT COUNTERS FOR HIGH-RESOLUTION, REAL-TIME TEMPERATURE MEASUREMENT 1 Sung Woo Chung and Kevin Skadron Division of Computer Science and Engineering, Korea University, Seoul 136-713, Korea

More information

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs A Simulation Methodology for Reliability Analysis in Multi-Core SoCs Ayse K. Coskun, Tajana Simunic Rosing University of California San Diego (UCSD) 95 Gilman Dr. La Jolla CA 92093-0404 {acoskun, tajana}@cs.ucsd.edu

More information

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model Yang Shang 1, Chun Zhang 1, Hao Yu 1, Chuan Seng Tan 1, Xin Zhao 2, Sung Kyu Lim 2 1 School of Electrical

More information

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1

NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 NCU EE -- DSP VLSI Design. Tsung-Han Tsai 1 Multi-processor vs. Multi-computer architecture µp vs. DSP RISC vs. DSP RISC Reduced-instruction-set Register-to-register operation Higher throughput by using

More information

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors Ravishankar Rao and Sarma Vrudhula Department of Computer Science and Engineering Arizona

More information

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction Saraju P. Mohanty Dept of Computer Science and Engineering University of North Texas smohanty@cs.unt.edu http://www.cs.unt.edu/~smohanty/

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

Enabling Power Density and Thermal-Aware Floorplanning

Enabling Power Density and Thermal-Aware Floorplanning Enabling Power Density and Thermal-Aware Floorplanning Ehsan K. Ardestani, Amirkoushyar Ziabari, Ali Shakouri, and Jose Renau School of Engineering University of California Santa Cruz 1156 High Street

More information

Throughput of Multi-core Processors Under Thermal Constraints

Throughput of Multi-core Processors Under Thermal Constraints Throughput of Multi-core Processors Under Thermal Constraints Ravishankar Rao, Sarma Vrudhula, and Chaitali Chakrabarti Consortium for Embedded Systems Arizona State University Tempe, AZ 85281, USA {ravirao,

More information

Lecture 21: Packaging, Power, & Clock

Lecture 21: Packaging, Power, & Clock Lecture 21: Packaging, Power, & Clock Outline Packaging Power Distribution Clock Distribution 2 Packages Package functions Electrical connection of signals and power from chip to board Little delay or

More information

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA Dynamic Power Management under Uncertain Information Hwisung Jung and Massoud Pedram University of Southern California Los Angeles CA Agenda Introduction Background Stochastic Decision-Making Framework

More information

Area-Time Optimal Adder with Relative Placement Generator

Area-Time Optimal Adder with Relative Placement Generator Area-Time Optimal Adder with Relative Placement Generator Abstract: This paper presents the design of a generator, for the production of area-time-optimal adders. A unique feature of this generator is

More information

New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza

New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza New Exploration Frameworks for Temperature-Aware Degn of MPSoCs Prof. David Atienza Dept Computer Architecture and Systems Engineering (DACYA) Complutense Univerty of Madrid, Spain Integrated Systems Lab

More information

Thermal Scheduling SImulator for Chip Multiprocessors

Thermal Scheduling SImulator for Chip Multiprocessors TSIC: Thermal Scheduling SImulator for Chip Multiprocessors Kyriakos Stavrou Pedro Trancoso CASPER group Department of Computer Science University Of Cyprus The CASPER group: Computer Architecture System

More information

Energy-Optimal Dynamic Thermal Management for Green Computing

Energy-Optimal Dynamic Thermal Management for Green Computing Energy-Optimal Dynamic Thermal Management for Green Computing Donghwa Shin, Jihun Kim and Naehyuck Chang Seoul National University, Korea {dhshin, jhkim, naehyuck} @elpl.snu.ac.kr Jinhang Choi, Sung Woo

More information

Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Considering Variable and Fixed Components of the System Power Dissipation

Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Considering Variable and Fixed Components of the System Power Dissipation Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Csidering Variable and Fixed Compents of the System Power Dissipati Kihwan Choi W-bok Lee Ramakrishna Soma Massoud Pedram University of

More information

CSCI-564 Advanced Computer Architecture

CSCI-564 Advanced Computer Architecture CSCI-564 Advanced Computer Architecture Lecture 8: Handling Exceptions and Interrupts / Superscalar Bo Wu Colorado School of Mines Branch Delay Slots (expose control hazard to software) Change the ISA

More information

On Potential Design Impacts of Electromigration Awareness

On Potential Design Impacts of Electromigration Awareness On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation

More information

Thermomechanical Stress-Aware Management for 3-D IC Designs

Thermomechanical Stress-Aware Management for 3-D IC Designs 2678 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER 2017 Thermomechanical Stress-Aware Management for 3-D IC Designs Qiaosha Zou, Member, IEEE, Eren Kursun,

More information

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability

Analysis of Temporal and Spatial Temperature Gradients for IC Reliability 1 Analysis of Temporal and Spatial Temperature Gradients for IC Reliability UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-24-8 MARCH 24 Zhijian Lu, Wei Huang, Shougata Ghosh, John Lach, Mircea

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Design for Manufacturability and Power Estimation. Physical issues verification (DSM) Design for Manufacturability and Power Estimation Lecture 25 Alessandra Nardi Thanks to Prof. Jan Rabaey and Prof. K. Keutzer Physical issues verification (DSM) Interconnects Signal Integrity P/G integrity

More information

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota Adding a New Dimension to Physical Design Sachin Sapatnekar University of Minnesota 1 Outline What is 3D about? Why 3D? 3D-specific challenges 3D analysis and optimization 2 Planning a city: Land usage

More information

Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient Analysis

Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient Analysis Temperature-Aware Floorplanning of Microarchitecture Blocks with IPC-Power Dependence Modeling and Transient Analysis Vidyasagar Nookala David J. Lilja Sachin S. Sapatnekar ECE Dept, University of Minnesota,

More information

Performance Metrics & Architectural Adaptivity. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance Metrics & Architectural Adaptivity. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance Metrics & Architectural Adaptivity ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So What are the Options? Power Consumption Activity factor (amount of circuit switching) Load Capacitance (size

More information

INF2270 Spring Philipp Häfliger. Lecture 8: Superscalar CPUs, Course Summary/Repetition (1/2)

INF2270 Spring Philipp Häfliger. Lecture 8: Superscalar CPUs, Course Summary/Repetition (1/2) INF2270 Spring 2010 Philipp Häfliger Summary/Repetition (1/2) content From Scalar to Superscalar Lecture Summary and Brief Repetition Binary numbers Boolean Algebra Combinational Logic Circuits Encoder/Decoder

More information

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. 1 2 Introduction Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements. Defines the precise instants when the circuit is allowed to change

More information

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000

Power in Digital CMOS Circuits. Fruits of Scaling SpecInt 2000 Power in Digital CMOS Circuits Mark Horowitz Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2004 by Mark Horowitz MAH 1 Fruits of Scaling SpecInt 2000 1000.00 100.00 10.00

More information

Lecture 16: Circuit Pitfalls

Lecture 16: Circuit Pitfalls Lecture 16: Circuit Pitfalls Outline Variation Noise Budgets Reliability Circuit Pitfalls 2 Variation Process Threshold Channel length Interconnect dimensions Environment Voltage Temperature Aging / Wearout

More information

Low Power Design Methodologies and Techniques: An Overview

Low Power Design Methodologies and Techniques: An Overview Design Design Methodologies and Techniques: An Overview Department of EE-Systems University of Southern California Los Angeles CA 90064 pedram@ceng.usc.edu Outline Introduction Analysis/Estimation Techniques

More information

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance, Power & Energy ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Recall: Goal of this class Performance Reconfiguration Power/ Energy H. So, Sp10 Lecture 3 - ELEC8106/6102 2 PERFORMANCE EVALUATION

More information

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern egrated circuits 3. Clock skew 3.1. Definitions For two sequentially adjacent registers, as shown in figure.1, C

More information

L15: Custom and ASIC VLSI Integration

L15: Custom and ASIC VLSI Integration L15: Custom and ASIC VLSI Integration Average Cost of one transistor 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001 '68 '70 '72 '74 '76 '78 '80

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati CSE140L: Components and Design Techniques for Digital Systems Lab Power Consumption in Digital Circuits Pietro Mercati 1 About the final Friday 09/02 at 11.30am in WLH2204 ~2hrs exam including (but not

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information

A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors

A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors Abstract - This paper presents a task migration algorithm for dynamic thermal management of SMT multi-core

More information

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Equivalent Circuit Model Extraction for Interconnects in 3D ICs Equivalent Circuit Model Extraction for Interconnects in 3D ICs A. Ege Engin Assistant Professor, Department of ECE, San Diego State University Email: aengin@mail.sdsu.edu ASP-DAC, Jan. 23, 213 Outline

More information

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes

Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes Impact of Scaling on The Effectiveness of Dynamic Power Reduction Schemes D. Duarte Intel Corporation david.e.duarte@intel.com N. Vijaykrishnan, M.J. Irwin, H-S Kim Department of CSE, Penn State University

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 10, OCTOBER

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 10, OCTOBER IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL 17, NO 10, OCTOBER 2009 1495 Architecture-Level Thermal Characterization for Multicore Microprocessors Duo Li, Student Member, IEEE,

More information

Interconnect Lifetime Prediction for Temperature-Aware Design

Interconnect Lifetime Prediction for Temperature-Aware Design Interconnect Lifetime Prediction for Temperature-Aware Design UNIV. OF VIRGINIA DEPT. OF COMPUTER SCIENCE TECH. REPORT CS-23-2 NOVEMBER 23 Zhijian Lu, Mircea Stan, John Lach, Kevin Skadron Departments

More information

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design

Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design Harris Introduction to CMOS VLSI Design (E158) Lecture 20: Low Power Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158

More information

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University Che-Wei Chang chewei@mail.cgu.edu.tw Department of Computer Science and Information Engineering, Chang Gung University } 2017/11/15 Midterm } 2017/11/22 Final Project Announcement 2 1. Introduction 2.

More information

CSE493/593. Designing for Low Power

CSE493/593. Designing for Low Power CSE493/593 Designing for Low Power Mary Jane Irwin [Adapted from Rabaey s Digital Integrated Circuits, 2002, J. Rabaey et al.].1 Why Power Matters Packaging costs Power supply rail design Chip and system

More information

Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors

Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors Duo Li and Sheldon X-D Tan Dept of Electrical Engineering University of California Riverside, CA 95 Eduardo H Pacheco and Murli

More information

Making Fast Buffer Insertion Even Faster via Approximation Techniques

Making Fast Buffer Insertion Even Faster via Approximation Techniques Making Fast Buffer Insertion Even Faster via Approximation Techniques Zhuo Li, C. N. Sze, Jiang Hu and Weiping Shi Department of Electrical Engineering Texas A&M University Charles J. Alpert IBM Austin

More information

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization

A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization A Temperature-aware Synthesis Approach for Simultaneous Delay and Leakage Optimization Nathaniel A. Conos and Miodrag Potkonjak Computer Science Department University of California, Los Angeles {conos,

More information

MODULE III PHYSICAL DESIGN ISSUES

MODULE III PHYSICAL DESIGN ISSUES VLSI Digital Design MODULE III PHYSICAL DESIGN ISSUES 3.2 Power-supply and clock distribution EE - VDD -P2006 3:1 3.1.1 Power dissipation in CMOS gates Power dissipation importance Package Cost. Power

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0

NEC PerforCache. Influence on M-Series Disk Array Behavior and Performance. Version 1.0 NEC PerforCache Influence on M-Series Disk Array Behavior and Performance. Version 1.0 Preface This document describes L2 (Level 2) Cache Technology which is a feature of NEC M-Series Disk Array implemented

More information

System-Level Power, Thermal and Reliability Optimization

System-Level Power, Thermal and Reliability Optimization System-Level Power, Thermal and Reliability Optimization by Changyun Zhu A thesis submitted to the Department of Electrical and Computer Engineering in conformity with the requirements for the degree of

More information

! Memory. " RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 3, 8 Memory: Core Cells Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery Penn ESE 57 Spring 8 - Khanna

More information

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors

More information

Digital System Clocking: High-Performance and Low-Power Aspects. Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M.

Digital System Clocking: High-Performance and Low-Power Aspects. Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Digital System Clocking: High-Performance and Low-Power Aspects Vojin G. Oklobdzija, Vladimir M. Stojanovic, Dejan M. Markovic, Nikola M. Nedovic Wiley-Interscience and IEEE Press, January 2003 Nov. 14,

More information

Implementation of Clock Network Based on Clock Mesh

Implementation of Clock Network Based on Clock Mesh International Conference on Information Technology and Management Innovation (ICITMI 2015) Implementation of Clock Network Based on Clock Mesh He Xin 1, a *, Huang Xu 2,b and Li Yujing 3,c 1 Sichuan Institute

More information

Compact Thermal Modeling for Temperature-Aware Design

Compact Thermal Modeling for Temperature-Aware Design Compact Thermal Modeling for Temperature-Aware Design Wei Huang, Mircea R. Stan, Kevin Skadron, Karthik Sankaranarayanan Shougata Ghosh, Sivakumar Velusamy Departments of Electrical and Computer Engineering,

More information

Lecture 4: Technology Scaling

Lecture 4: Technology Scaling Digital Integrated Circuits (83-313) Lecture 4: Technology Scaling Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 2 April 2017 Disclaimer: This course was prepared, in its

More information

Intel Stratix 10 Thermal Modeling and Management

Intel Stratix 10 Thermal Modeling and Management Intel Stratix 10 Thermal Modeling and Management Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 List of Abbreviations...

More information

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System TU Wien 1 Energy Efficiency Outline 2 Basic Concepts Energy Estimation Hardware Scaling Power Gating Software Techniques Energy Sources 3 Why are Energy and Power Awareness Important? The widespread use

More information