Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering

Size: px
Start display at page:

Download "Continuous heat flow analysis. Time-variant heat sources. Embedded Systems Laboratory (ESL) Institute of EE, Faculty of Engineering"

Transcription

1 Thermal Modeling, Analysis and Management of 2D Multi-Processor System-on-Chip Prof David Atienza Alonso Embedded Systems Laboratory (ESL) Institute of EE, Falty of Engineering Outline MPSoC thermal modeling and analysis HW-based thermal management for MPSoCs SW-based thermal management for MPSoCs Conclusions ECOFAC 2010, Lannion, 29/03 2/ Outline MPSoC Thermal Modeling Problem MPSoC thermal modeling and analysis HW-based thermal management for MPSoCs SW-based thermal management for MPSoCs Thermal modeling and management for 3D MPSoCs Conclusions Continuous heat flow analysis Capture geometrical characteristics of MPSoCs Explore different packaging features and heat sink characteristics Time-variant heat sources Transistor switching depends on MPSoC run-time activity (software) Dynamic interaction with heat flow analysis Very complex computational problem! 3 4 1

2 MPSoC Thermal Modeling State-of-the-Art MPSoC Thermal Modeling State-of-the-Art MPSoC Modeling and Exploration 1 SW simulation: Transactions, cycle-acrate (~100 KHz) [Synopsys Realview, Mentor Primecell, Madsen et al, Angiolini et al] MPSoC Modeling and Exploration 1 SW simulation: Transactions, cycle-acrate (~100 KHz) [Synopsys Realview, Mentor Primecell, Madsen et al, Angiolini et al] At the desired cycle-acrate level, they are too slow for thermal analysis of real-life life applications! 2 HW prototyping: Core dependent (~ MHz) [Cadence Palladium II, ARM Integrator IP, Heron Engineering] Very expensive and late in design flow, no thermal modeling, only used for functional validation of MPSoC architectures! At the desired cycle-acrate level, they are too slow for thermal analysis of real-life life applications! Combination of cycle-acrate 2 HW prototyping: Core dependent (~ MPSoC MHz) behavior [Cadence and IC Palladium heat flow II, ARM modeling Integrator at IP, run-time Heron Engineering] is unheard of Very expensive and late in design flow, no thermal modeling, only used for functional validation of MPSoC architectures! Heat Flow Modeling: 1 Software thermal/power models models [Skadron et al, Kang et al] Heat Flow Modeling: 1 Software thermal/power models models [Skadron et al, Kang et al] Too computationally intensive and not able to interact at run-time with inputs from MPSoC components! 5 Too computationally intensive and not able to interact at run-time with inputs from MPSoC components! 6 Orthogonalizing MPSoC Thermal Modeling and Analysis Orthogonalizing MPSoC Thermal Modeling and Analysis Multi-Proc OS + DVFS + Task Migration Sw app 1 I/O Sw CPU app N CPU I/O SRAM CPU SRAM CPU SRAM Energy MPSoC Behavior Emulation on FPGA standard Ethernet connection & dedicated HW monitor Temp (T) Software Thermal Model si 7 Host PC 8 2

3 Orthogonalizing MPSoC Thermal Modeling and Analysis Multi-Proc OS + DVFS + Task Migration Sw app 1 I/O Sw CPU app N CPU I/O SRAM CPU SRAM CPU SRAM standard Ethernet connection & dedicated HW monitor Energy MPSoC Behavior Emulation on FPGA Temp (T) Software Framework: MPSoC Thermal behavioral Model model si on sireconfigurable HW interacting with efficient thermal estimation Host PC 9 Chip and Package Heat Flow Modeling Model interface Input: power model of MPSoC components, geometrical properties Output: temperature of MPSoC components at run-time Thermal cirit: 1 st order RC cirit Heat flow ~ Electrical rrent ; Temperature ~ Voltage Heat spreader and IC composed of elementary blocks Cu si Heat spreader IC die PCB IC package Package pin 10 Chip and Package Heat Flow Modeling Model interface Input: power model of MPSoC components, geometrical properties Output: temperature of MPSoC components at run-time Thermal cirit: 1 st order RC cirit Heat flow ~ Electrical rrent ; Temperature ~ Voltage Heat spreader and IC composed of elementary blocks Chip and Package Heat Flow Modeling Model interface Input: power model of MPSoC components, geometrical properties Output: temperature of MPSoC components at run-time Thermal cirit: 1 st order RC cirit Heat flow ~ Electrical rrent ; Temperature ~ Voltage Heat spreader and IC composed of elementary blocks Cu si Cu si 11 Temperature change C t k = - G (t k )t k + p k ; k = 1m power consumption vector 12 3

4 ) Chip and Package Heat Flow Modeling Model interface Input: power model of MPSoC components, geometrical properties Output: temperature of MPSoC components at run-time Thermal cirit: 1 st order RC cirit Heat flow ~ Electrical rrent ; Temperature Si thermal ~ conductivity Voltage Heat spreader and IC composed of depends 160 elementary on temperature blocks Cu si Thermal conductance matrix /mºk) Thermal conductivity(w/ (IMEC & Freescale, 90nm) 150 Actual value Temperature (in Celsius) G 1,2 -G 1,2 -G 2,1 G 2,1 C t k = - G (t k )t k + p k ; k = 1m Temperature vector at instant k 13 Chip and Package Heat Flow Modeling Model interface Input: power model of MPSoC components, geometrical properties Output: temperature of MPSoC components at run-time Thermal cirit: 1 st order RC cirit Heat flow ~ Electrical rrent ; Temperature ~ Voltage Heat spreader and IC composed of elementary blocks Cu si Thermal capacitance matrix C si,1 C si,2 C t k = - G (t k )t k + p k ; k = 1m C,n 14 SW Thermal Estimation Tool for MPSoCs C t k = - G (t k )t k + p k ; k = 1m Creating linear approximation while retaining variable Si thermal conductivity: Si thermal conductivity linearly approx : G i,j (t k ) = I + q t k Numerically integrating in discrete Si thermal conductivity time domain the t k : dependent on temperature 160 Actual value 150 t k+1 = A(t k )t k + Bp k ; k = 1m Linear fit 140 A(t k ) = (I - d t C -1 G(t k )) ; B = d t C Time step chosen small 110 enough for convergence 100 Thermal conductivity(w/mºk Temperature (in Celsius) SW Thermal Estimation Tool for MPSoCs C t k = - G (t k )t k + p k ; k = 1m Creating linear approximation while retaining variable Si thermal conductivity: Si thermal conductivity linearly approx : G i,j (t k ) = I + q t k Numerically integrating in discrete time domain the t k : 60 sec of MPSoC heat flow analysis t k+1 = A(t k )t k + Bp k ; k = 1m 1600 Complexity scales linearly with A(t k ) = (I - d t C G(t k )) ; B = d t C -1 the number of modeled cells 1200 Non-linear 1000 (simulated on Time P4@ 3GHz) step chosen small 800 thermal estim thermal library enough validated for convergence against 3D finite element 200 model (IMEC & Freescale) 0 Heat flow estimation Time (S) Proposed linear thermal estimation Number of Cells 16 4

5 Case Study: HW 4-Core MPSoC MPSoC Philips board design: 4 processors, DVFS: 100/500 MHz Plastic packaging Software: Image watermarking, video rendering Power values for 90nm: Element Max Power (mw) 100 MHz Max Power (mw) 500 MHz Processor 2,92 x ,02 x 10 3 D-Cache 1,42 x ,10 x 10 2 I-Cache 1,42 x ,10 x 10 2 Priv Mem 0,61 x ,75 x 10 2 AMBA 0,31 x ,68 x Results: Thermal Validation 4-core MPSoC MPARM: Cycle-acrate SW architectural simulator Complete power/thermal models tuned to Philips/IMEC figures Simulations too slow: 2 days for 018 real sec (12 cells) Temperature (Kelvin) Average temperature of emulated 4-core 4 MPSoC Package limit (~85ºC) Many weeks of simulation?! 0,0 1,0 2,0 3,0 Time 4,0 (seconds) 5,0 6,0 7,0 8,0 Simulation in MPARM Emulation 500 MHz 100 MHz 18 Results: Thermal Validation 4-core MPSoC MPARM: Cycle-acrate SW architectural simulator Complete power/thermal models tuned to Philips/IMEC figures Simulations too slow: 2 days for 018 real sec (12 cells) Emulation time 45 sec (128 cells)! Average temperature of emulated 4-core 4 MPSoC Results: Thermal Validation 4-core MPSoC MPARM: Cycle-acrate SW architectural simulator Complete power/thermal models tuned to Philips/IMEC figures Simulations too slow: 2 days for 018 real sec (12 cells) HW thermal emulation able to validate policies at run-time Dynamic Voltage and Frequency Scaling (DVFS) based on thresholds Emulation time 45 sec (128 cells)! Average temperature of emulated 4-core 4 MPSoC Temperature (Kelvin) Package limit (~85ºC) 500 MHz 100 MHz 420 DVFS ON: /100 MHz Temperature (Kelvin) Package limit (~85ºC) 500 MHz 100 MHz 300 0,0 1,0 2,0 3,0 Time 4,0 (seconds) 5,0 6,0 7,0 8, ,0 1,0 2,0 3,0 Time 4,0 (seconds) 5,0 6,0 7,0 8,0 Simulation in MPARM Emulation 19 Simulation Simulation in MPARMin MPARMEmulation Emulation with DFS 20 5

6 Results: Thermal Validation 4-core MPSoC MPARM: Cycle-acrate SW architectural simulator Complete power/thermal models tuned to Philips/IMEC figures Simulations too slow: 2 days for 018 real sec (12 cells) HW thermal emulation able to validate policies at run-time Dynamic Voltage and Frequency Scaling (DVFS) based on thresholds /100 MHz Emulation time 45 sec (128 cells)! Average temperature of emulated 4-core 4 Very fast validation of MPSoC run-time 420 thermal behavior and management DVFS ON: Temperature (Kelvin) 500 MHz Package limit (~85ºC) 100 MHz Outline MPSoC thermal modeling and analysis HW-based thermal management for MPSoCs SW-based thermal management for MPSoCs Conclusions 300 0,0 1,0 2,0 3,0 Time 4,0 (seconds) 5,0 6,0 7,0 8,0 Simulation Simulation in MPARMin MPARMEmulation Emulation with DFS Temperature Management is Power Control under Thermal Constraints Temperature Management is Power Control under Thermal Constraints Power consumption of cores determines thermal behavior Power consumption depends on frequency and voltage Setting frequencies/voltages can control power and temperature Optimization problem: frequency/voltage assignment in MPSoCs under thermal constraints Meet processing requirements Respect thermal constraint at all times Minimize power consumption

7 HW-Based Thermal Management State-of-the-Art Static approach: thermal-aware aware placement to try to even out worst-case thermal profile [Sapatnekar, Wong et al] Computationally diffilt problem (NP-complete) Not able to predict all working conditions, and leakage changing dynamically, it is not useful in real systems Dynamic approach: HW-based dynamic thermal management Clock gating based on time-out [Xie et al, Brooks et al] DVFS based on thresholds [Chaparro et al, Mukherjee et al,] Heuristics for component shut down, limited history [Donald et al] Techniques to minimize power, they only achieve thermal management as a by-product No formalization of the thermal optimization problem 25 Formalization of Thermal Management Problem in MPSoCs Control theory problem Observable: Geometrical properties and behavior Heat flow model and thermal profile estimation Performance counters Controlable: Max throughput under thermal constraints Tuning knobs: frequencies/voltages of the system (DVFS) Observed system: MPSoC Run-time HW DVFS support Processor cores Control output: Cores freqs Performance counters (average frequency) Observer and control system Optimal frequency assignment module Thermal Thermal Thermal profile sensors state estimation Requirements: Max Throughput Constraints: Max temperature 26 Formalization of Thermal Management Problem in MPSoCs Control theory problem Optimal frequency assignment module, 2-phase approach: Observable: Geometrical properties and behavior Heat 1) flow Design-time model and phase: thermal Find profile optimal estimation sets of frequencies Performance the cores counters for different working conditions Controlable: 2) Run-time Max phase: throughput Apply one under of the thermal predefined constraints sets Tuning found knobs: in phase frequencies/voltages 1 for the required of system the system performance (DVFS) Observed system: MPSoC Run-time HW DVFS support Processor cores Control output: Cores freqs Performance counters (average frequency) Observer and control system Optimal frequency assignment module Thermal Thermal Thermal profile sensors state estimation Requirements: Max Throughput Constraints: Max temperature 27 Pro-Active HW-Based Thermal Control: Phase 1 Design-Time Predictive model of thermal behavior given a set of frequency assignments Allowed core Packaging, Chip power values and heat spreader floorplan frequencies information Phase inputs Optimal frequency assignment module Method Table of cores outputs frequencies assignments 28 7

8 Pro-Active HW-Based Thermal Control: Phase 1 Design-Time Predictive model of thermal behavior given a set of frequency assignments Allowed core Packaging, Chip power values and heat spreader floorplan frequencies information Phase inputs Optimization problem: Minimize sum of power consumption of cores Constraints: Pro-Active HW-Based Thermal Control: Phase 1 Design-Time Predictive model of thermal behavior given a set of frequency assignments Allowed core Packaging, Chip power values and heat spreader floorplan frequencies information Phase inputs Optimization problem: Constraints: Non-linear offline problem Performance constraint: on average, freq is f avg Thermal equation Meet temp constraints at all time points Power equation based on frequency Method Table of cores outputs frequencies assignments Thermal equation: Si conductivity depends on temp Power equation: quadratic dependence on freq Method Table of cores outputs frequencies assignments Frequency in predefined range Making Power and Thermal Constraints Convex Power constraint adaptation Change non-affine (quadratic equality): p max (f i,k ) 2 / (f max ) 2 = p i,k ; i = 1,,n, k To convex inequality: p 2 /(f 2 max (f i,k ) max ) p i,k ;i=1 1,,n, k Thermal constraint adaptation Use worst case thermal conductivity in the range of allowed temperatures, and iterate (if needed) to optimum Making Power and Thermal Constraints Convex Power constraint adaptation Solve Change convex non-affine problem (quadratic and equality): get table of optimal frequencies p max for (f different i,k ) 2 / (f max ) working 2 = p i,k ; i conditions = 1,,n, k in To polynomial convex inequality: time (number of processors) p 2 /(f 2 max (f i,k ) max ) p i,k ;i=1 1,,n, k Thermal constraint adaptation Use worst case thermal conductivity in the range of allowed temperatures, and iterate (if needed) to optimum

9 Pro-Active HW-Based Thermal Control: Phase 2 - Run-Time, Putting It All Together Case Study: 8-Core Sun MPSoC Use table of frequencies assignments and index by actual conditions at regular run-time intervals Targeted operating frequency of cores Run-time optimal DVFS assignment HW module 1) Index table output of phase 1 with rrent working conditions Current temperature of cores 2) Compare to rrent assignment to cores and generate required signaling to modify DVFS values Phase output Method inputs Run-time DVFS changes for processors MPSoC Sun Niagara architecture 8 processing cores SPARC T1 Max frequency each core: 1 GHz 10 DVFS values, applied every 100ms Max power per core: 4 W Exetion characteristics of workloads [Sun Microsystems]: Mixes of 10 different benchmarks, from web-accessing to multimedia 60,000 iterations of basic benchmarks, tens of seconds of actual system exetion Sun s Niagara MPSoC Results: Thermal Constraints Respected Outline DVFS: Total run-time of benchmarks 180 sec Proposed method achieves better throughput than standard DVFS while satisfying thermal constraints 2-phase Convex method: 106 sec (45% less exec time) MPSoC thermal modeling and analysis HW-based thermal management for MPSoCs SW-based thermal management for MPSoCs Conclusions

10 MPSoC System-Level Architecture: HW and SW Layers MPOS Task Manager LOAD TASK MIGRATION FREQUENCY 100 % To Core #1 (70% load) TASK B (35% FSE LOAD load) 50 % 50% TASK A (60% TASKload) C To FSE Core LOAD #1 FSE LOAD (30% 40% load) 40% 0 % PROC 1 PROC 2 To Core #1 (30% load) (60% load) To Core #1 (70% load) (35% load) SW layers introduced to better exploit the HW of MPSoCs Applications divided in tasks: blocks of operations to be exeted Multi-processor Operating System (MPOS) distributes the tasks Load balancing: equal distribution of work between processors 37 MPSoC System-Level Architecture: HW and SW Layers MPOS Task Manager LOAD TASK MIGRATION FREQUENCY 100 % To Core #1 (70% load) TASK B (35% FSE LOAD load) 50 % 50% TASK A (60% TASKload) C To FSE Core LOAD #1 FSE LOAD (30% 40% load) 40% 0 % PROC 1 PROC 2 To Core #1 (30% load) (60% load) Can we control the MPSoC thermal profile by controlling software exetion? To Core #1 (70% load) (35% load) SW layers introduced to better exploit the HW of MPSoCs Applications divided in tasks: blocks of operations to be exeted Multi-processor Operating System (MPOS) distributes the tasks Load balancing: equal distribution of work between processors 38 Pro-Active Static (Offline) SW-Based Thermal Management It cannot really model run-time behavior! Need for online management! Task Graph: Precedence, deadlines, thermal behavior (time spent over threshold T for each task) System Properties: Floorplan Package Characteristics Integer Linear Program (ILP) Optimal Schedule with Static workloads, still Spatial Gradients and Thermal Cycles 39 Task Migration for Load vs Thermal Balancing Plain load balancing No improvement in workload distribution possible: no migration TEMPERATURE TEMPERATURE PROCESSOR 1 TEMP MEAN TEMP LOAD 100 % FREQUENCY TASK B FSE LOAD Hot-spot! 50 % 50% 40% TASK A FSE LOAD 40% TIME 0 % PROCESSOR 2 TEMP TIME PROC 1 TASK C FSE LOAD 40% PROC

11 Task Migration for Load vs Thermal Balancing Heat&Run: Load balancing with local knowledge of temperature in MPSoC components Task Migration for Load vs Thermal Balancing Heat&Run: Load balancing with local knowledge of temperature in MPSoC components Helping with hot-spots, but no thermal balancing TEMPERATURE TIME LOAD LOADTASK MIGRATION 100 FREQUENCY % FREQUENCY 100 % 50 % 50 % 0 % TASK B FSE TASK LOAD B FSE 40% 50% LOAD 40% 50% TASK TASK TASK A TASK C FSE FSE LOAD LOAD FSE FSE LOAD LOAD 40% 40% PROC source 1 PROC target 2 41 TEMPERATURE LOAD TASK MIGRATION FREQUENCY 100 % Existing approaches do not consider global thermal dynamics for task migration! TIME 50 % 0 % TASK A FSE LOAD 40% TASK B FSE LOAD 50% 40% TASK C FSE LOAD 40% PROC source 1 PROC target 2 42 Task Migration for Load vs Thermal Balancing Migration strategy for thermal balancing Global knowledge of temperature at MPOS level Adjusted to partilar thermal dynamics of each platform Formalization Dynamic number of tasks, no control theory formalization possible Knapsack problem, move N largest tasks between cores: estimated increase in temperature and minimizing performance penalty TEMPERATURE TEMPERATURE UPPER TRESHOLD LOWER TRESHOLD TIME TIME Reduces hot-spots and reaches thermal balancing 43 Case Study: Freescale MPSoC Board Hardware 3 RISC processor cores 16KB caches, 32KB shared mem AMBA bus, 2GB ext mem Software uclinux-based MPOS Multimedia applications: audio and video Two packaging options Mobile embedded SoCs (slow temperature variations) High performance SoCs (fast temperature variations) 44 11

12 Results and Comparisons Results and Comparisons Good thermal balancing 400MHz (1% overhead) Average: 405ºC, variations of < 3ºC Small performance overhead ( 2 migrat/s) +/-3º Comparisons with other policies Load balancing inefficient (>7ºC diffs) Heat&Run inefficient or causes many deadline misses (40% below performance requirements) Performance requirements met for both types of packaging 45 Good thermal balancing 400MHz (1% overhead) Average: 405ºC, variations of < 3ºC Small performance overhead ( 2 migrat/s) +/-3º Comparisons with other Good policiesperformance and uniform temperature adjusting Load balancing globally to thermal dynamics with MPOS inefficient (>7ºC diffs) Heat&Run inefficient or causes many deadline misses (40% below performance requirements) Performance requirements met for both types of packaging 46 Adapt2D: Combination of HW and SW-Based Pro-Active Thermal Management Outline Initial: Large gradients New: Thermal balancing MPSoC thermal modeling and analysis HW-based thermal management for MPSoCs SW-based thermal management for MPSoCs Conclusions HW-based management: Convex-based dynamic voltage and frequency scaling (DVFS) exploration SW-based management: Proactive task scheduling and migration Support of multi-processor operating system: Solaris Multi-Core Good thermal control in commercial MPSoCs in 90nm, what about 3D integration?

13 Conclusions Progress in semiconductor technologies enables new MPSoCs Thermal/reliability issues must be addressed for safe human interaction Thermal monitoring and control are key Clear benefits of thermal-aware aware design methods for MPSoCs Novel, fast and low-cost thermal modeling approach at system-level Formalization of HW-based thermal management problem as convex, and solved in polynomial time New SW-based thermal balancing method with very limited overhead Validation on commercial 2D- MPSoCs (Sun, Freescale, Philips) Fast exploration of thermal behavior of complex MPSoCs Effective HW- and SW-based pro-active thermal management 49 Key References and Bibliography Thermal modeling and FPGA-based emulation HW-SW Emulation Framework for Temperature-Aware Design in MPSoCs, D Atienza, et al ACM TODAES,, Vol 12, Nr 3, pp 1 26, August 2007 Thermal management for 2D MPSoCs Thermal Balancing Policy for Multiprocessor Stream Computing Platforms, F Mulas, et al, IEEE T-CAD,, Vol 28, Nr 12, pp , December 2009 Processor Speed Control with Thermal Constraints, A Mutapcic, S Boyd, et al IEEE TCAS-I,, Vol 56, Nr 9, pp , Sept 2009 Inducing Thermal-Awareness in Multi-Processor Systems-on on-chip Using Networks-on-Chip, E Martinez, et al, Proc ISVLSI 2009 Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization, SMurali, et al, Proc DATE, Acknowledgements: QUESTIONS? Swiss National Science Foundation European Commission UCSD / Sun Microsystems IMEC / Philips IBM Zürich Bologna / Freescale semiconductors51 13

New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza

New Exploration Frameworks for Temperature-Aware Design of MPSoCs. Prof. David Atienza New Exploration Frameworks for Temperature-Aware Degn of MPSoCs Prof. David Atienza Dept Computer Architecture and Systems Engineering (DACYA) Complutense Univerty of Madrid, Spain Integrated Systems Lab

More information

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Francesco Zanini, David Atienza, Ayse K. Coskun, Giovanni De Micheli Integrated Systems Laboratory (LSI), EPFL,

More information

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers Francesco Zanini, David Atienza, Ayse K. Coskun, Giovanni De Micheli Integrated Systems Laboratory (LSI), EPFL,

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Temperature-Aware Analysis and Scheduling

Temperature-Aware Analysis and Scheduling Temperature-Aware Analysis and Scheduling Lothar Thiele, Pratyush Kumar Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go

More information

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs

TempoMP: Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs : Integrated Prediction and Management of Temperature in Heterogeneous MPSoCs Shervin Sharifi, Raid Ayoub, Tajana Simunic Rosing Computer Science and Engineering Department University of California, San

More information

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

Through Silicon Via-Based Grid for Thermal Control in 3D Chips Through Silicon Via-Based Grid for Thermal Control in 3D Chips José L. Ayala 1, Arvind Sridhar 2, Vinod Pangracious 2, David Atienza 2, and Yusuf Leblebici 3 1 Dept. of Computer Architecture and Systems

More information

Mitigating Semiconductor Hotspots

Mitigating Semiconductor Hotspots Mitigating Semiconductor Hotspots The Heat is On: Thermal Management in Microelectronics February 15, 2007 Seri Lee, Ph.D. (919) 485-5509 slee@nextremethermal.com www.nextremethermal.com 1 Agenda Motivation

More information

Lecture 2: Metrics to Evaluate Systems

Lecture 2: Metrics to Evaluate Systems Lecture 2: Metrics to Evaluate Systems Topics: Metrics: power, reliability, cost, benchmark suites, performance equation, summarizing performance with AM, GM, HM Sign up for the class mailing list! Video

More information

A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors

A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors A Physical-Aware Task Migration Algorithm for Dynamic Thermal Management of SMT Multi-core Processors Abstract - This paper presents a task migration algorithm for dynamic thermal management of SMT multi-core

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

Performance Metrics & Architectural Adaptivity. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance Metrics & Architectural Adaptivity. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance Metrics & Architectural Adaptivity ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So What are the Options? Power Consumption Activity factor (amount of circuit switching) Load Capacitance (size

More information

Thermal Scheduling SImulator for Chip Multiprocessors

Thermal Scheduling SImulator for Chip Multiprocessors TSIC: Thermal Scheduling SImulator for Chip Multiprocessors Kyriakos Stavrou Pedro Trancoso CASPER group Department of Computer Science University Of Cyprus The CASPER group: Computer Architecture System

More information

Optimal Voltage Allocation Techniques for Dynamically Variable Voltage Processors

Optimal Voltage Allocation Techniques for Dynamically Variable Voltage Processors Optimal Allocation Techniques for Dynamically Variable Processors 9.2 Woo-Cheol Kwon CAE Center Samsung Electronics Co.,Ltd. San 24, Nongseo-Ri, Kiheung-Eup, Yongin-City, Kyounggi-Do, Korea Taewhan Kim

More information

Performance Metrics for Computer Systems. CASS 2018 Lavanya Ramapantulu

Performance Metrics for Computer Systems. CASS 2018 Lavanya Ramapantulu Performance Metrics for Computer Systems CASS 2018 Lavanya Ramapantulu Eight Great Ideas in Computer Architecture Design for Moore s Law Use abstraction to simplify design Make the common case fast Performance

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 13: Power & Energy Slides developed by Milo Mar0n & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin slides by

More information

Unified Framework for Energy-proportional Computing in Multicore Processors: Novel. Algorithms and Practical Implementation.

Unified Framework for Energy-proportional Computing in Multicore Processors: Novel. Algorithms and Practical Implementation. Unified Framework for Energy-proportional Computing in Multicore Processors: Novel Algorithms and Practical Implementation by Vinay Hanumaiah A Dissertation Presented in Partial Fulfillment of the Requirements

More information

CHIP POWER consumption is expected to increase with

CHIP POWER consumption is expected to increase with IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 28, NO. 10, OCTOBER 2009 1503 Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs Ayşe Kıvılcım

More information

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota

Adding a New Dimension to Physical Design. Sachin Sapatnekar University of Minnesota Adding a New Dimension to Physical Design Sachin Sapatnekar University of Minnesota 1 Outline What is 3D about? Why 3D? 3D-specific challenges 3D analysis and optimization 2 Planning a city: Land usage

More information

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Presenter: Tong Shu Authors: Tong Shu and Prof. Chase Q. Wu Big Data Center Department of Computer Science New Jersey Institute

More information

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance, Power & Energy ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Recall: Goal of this class Performance Reconfiguration Power/ Energy H. So, Sp10 Lecture 3 - ELEC8106/6102 2 PERFORMANCE EVALUATION

More information

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach Hwisung Jung, Massoud Pedram Outline Introduction Background Thermal Management Framework Accuracy of Modeling Policy Representation

More information

Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Considering Variable and Fixed Components of the System Power Dissipation

Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Considering Variable and Fixed Components of the System Power Dissipation Dynamic Voltage and Frequency Scaling Under a Precise Energy Model Csidering Variable and Fixed Compents of the System Power Dissipati Kihwan Choi W-bok Lee Ramakrishna Soma Massoud Pedram University of

More information

Temperature-Aware MPSoC Scheduling for Reducing Hot Spots and Gradients

Temperature-Aware MPSoC Scheduling for Reducing Hot Spots and Gradients Temperature-Aware MPSoC Scheduling for Reducing Hot Spots and Gradients Ayse Kivilcim Coskun Tajana Simunic Rosing Keith A. Whisnant Kenny C. Gross University of California, San Diego Sun Microsystems,

More information

A Novel Software Solution for Localized Thermal Problems

A Novel Software Solution for Localized Thermal Problems A Novel Software Solution for Localized Thermal Problems Sung Woo Chung 1,* and Kevin Skadron 2 1 Division of Computer and Communication Engineering, Korea University, Seoul 136-713, Korea swchung@korea.ac.kr

More information

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA Dynamic Power Management under Uncertain Information Hwisung Jung and Massoud Pedram University of Southern California Los Angeles CA Agenda Introduction Background Stochastic Decision-Making Framework

More information

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems

Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Temperature-aware Task Partitioning for Real-Time Scheduling in Embedded Systems Zhe Wang, Sanjay Ranka and Prabhat Mishra Dept. of Computer and Information Science and Engineering University of Florida,

More information

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors

Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors Ravishankar Rao and Sarma Vrudhula Department of Computer Science and Engineering Arizona

More information

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay SP-CNN: A Scalable and Programmable CNN-based Accelerator Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay Motivation Power is a first-order design constraint, especially for embedded devices. Certain

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems Shahin Golshan 1, Eli Bozorgzadeh 1, Benamin C Schafer 2, Kazutoshi Wakabayashi 2, Houman Homayoun 1 and Alex Veidenbaum

More information

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs

A Simulation Methodology for Reliability Analysis in Multi-Core SoCs A Simulation Methodology for Reliability Analysis in Multi-Core SoCs Ayse K. Coskun, Tajana Simunic Rosing University of California San Diego (UCSD) 95 Gilman Dr. La Jolla CA 92093-0404 {acoskun, tajana}@cs.ucsd.edu

More information

Resilient and energy-aware algorithms

Resilient and energy-aware algorithms Resilient and energy-aware algorithms Anne Benoit ENS Lyon Anne.Benoit@ens-lyon.fr http://graal.ens-lyon.fr/~abenoit CR02-2016/2017 Anne.Benoit@ens-lyon.fr CR02 Resilient and energy-aware algorithms 1/

More information

Energy-aware checkpointing of divisible tasks with soft or hard deadlines

Energy-aware checkpointing of divisible tasks with soft or hard deadlines Energy-aware checkpointing of divisible tasks with soft or hard deadlines Guillaume Aupy 1, Anne Benoit 1,2, Rami Melhem 3, Paul Renaud-Goud 1 and Yves Robert 1,2,4 1. Ecole Normale Supérieure de Lyon,

More information

Tradeoff between Reliability and Power Management

Tradeoff between Reliability and Power Management Tradeoff between Reliability and Power Management 9/1/2005 FORGE Lee, Kyoungwoo Contents 1. Overview of relationship between reliability and power management 2. Dakai Zhu, Rami Melhem and Daniel Moss e,

More information

Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners

Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners Leveraging Task-Parallelism in Energy-Efficient ILU Preconditioners José I. Aliaga Leveraging task-parallelism in energy-efficient ILU preconditioners Universidad Jaime I (Castellón, Spain) José I. Aliaga

More information

Embedded Systems 23 BF - ES

Embedded Systems 23 BF - ES Embedded Systems 23-1 - Measurement vs. Analysis REVIEW Probability Best Case Execution Time Unsafe: Execution Time Measurement Worst Case Execution Time Upper bound Execution Time typically huge variations

More information

CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When

CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When 1 CPU Consolidation versus Dynamic Voltage and Frequency Scaling in a Virtualized Multi-Core Server: Which is More Effective and When Inkwon Hwang, Student Member and Massoud Pedram, Fellow, IEEE Abstract

More information

Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1

Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1 Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1 Vishnu Swaminathan and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University 130 Hudson

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

Online Work Maximization under a Peak Temperature Constraint

Online Work Maximization under a Peak Temperature Constraint Online Work Maximization under a Peak Temperature Constraint Thidapat Chantem Department of CSE University of Notre Dame Notre Dame, IN 46556 tchantem@nd.edu X. Sharon Hu Department of CSE University of

More information

Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival

Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival Robust On-Chip Bus Architecture Synthesis for MPSoCs Under Random Tasks Arrival Sujan Pandey NP Semiconductors Research Eindhoven, The Netherlands pandey@informatik.uni-bremen.de Rolf Drechsler Dept. of

More information

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 12: Energy and Power James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L12 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Housekeeping Your goal today a working understanding of

More information

EDF Feasibility and Hardware Accelerators

EDF Feasibility and Hardware Accelerators EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo, Waterloo, Canada, arrmorton@uwaterloo.ca Wayne M. Loucks University of Waterloo, Waterloo, Canada, wmloucks@pads.uwaterloo.ca

More information

Energy-efficient scheduling

Energy-efficient scheduling Energy-efficient scheduling Guillaume Aupy 1, Anne Benoit 1,2, Paul Renaud-Goud 1 and Yves Robert 1,2,3 1. Ecole Normale Supérieure de Lyon, France 2. Institut Universitaire de France 3. University of

More information

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier

Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Vectorized 128-bit Input FP16/FP32/ FP64 Floating-Point Multiplier Espen Stenersen Master of Science in Electronics Submission date: June 2008 Supervisor: Per Gunnar Kjeldsberg, IET Co-supervisor: Torstein

More information

Thermal Prediction and Adaptive Control Through Workload Phase Detection

Thermal Prediction and Adaptive Control Through Workload Phase Detection Thermal Prediction and Adaptive Control Through Workload Phase Detection RYAN COCHRAN and SHERIEF REDA, Brown University Elevated die temperature is a true limiter to the scalability of modern processors.

More information

Tecniche di ottimizzazione per lo sviluppo di applicazioni embedded su piattatforme multiprocessore su singolo chip

Tecniche di ottimizzazione per lo sviluppo di applicazioni embedded su piattatforme multiprocessore su singolo chip Tecniche di ottimizzazione per lo sviluppo di applicazioni embedded su piattatforme multiprocessore su singolo chip Luca Benini Lbenini@deis.unibo.it DEIS Università di Bologna Embedded Systems General

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 1: Introduction to Digital Electronics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Textbook

More information

Proactive Temperature Balancing for Low Cost Thermal Management in MPSoCs

Proactive Temperature Balancing for Low Cost Thermal Management in MPSoCs Proactive Temperature Balancing for Low Cost Thermal Management in MPSoCs Ayse Kivilcim Coskun Tajana Simunic Rosing Kenny C. Gross University of California, San Diego Sun Microsystems, San Diego Abstract

More information

Robust Optimization of a Chip Multiprocessor s Performance under Power and Thermal Constraints

Robust Optimization of a Chip Multiprocessor s Performance under Power and Thermal Constraints Robust Optimization of a Chip Multiprocessor s Performance under Power and Thermal Constraints Mohammad Ghasemazar, Hadi Goudarzi and Massoud Pedram University of Southern California Department of Electrical

More information

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Dongkun Shin School of Computer Science and Engineering Seoul National University sdk@davinci.snu.ac.kr Jihong Kim

More information

VLSI Signal Processing

VLSI Signal Processing VLSI Signal Processing Lecture 1 Pipelining & Retiming ADSP Lecture1 - Pipelining & Retiming (cwliu@twins.ee.nctu.edu.tw) 1-1 Introduction DSP System Real time requirement Data driven synchronized by data

More information

Performance, Power & Energy

Performance, Power & Energy Recall: Goal of this class Performance, Power & Energy ELE8106/ELE6102 Performance Reconfiguration Power/ Energy Spring 2010 Hayden Kwok-Hay So H. So, Sp10 Lecture 3 - ELE8106/6102 2 What is good performance?

More information

Model predictive control and selflearning of thermal models for multi-core platforms*

Model predictive control and selflearning of thermal models for multi-core platforms* Model predictive control and selflearning of thermal models for multi-core platforms* Luca Benini Luca.benini@unibo.it *Work supported by Intel Labs Braunschweig Co2 Emissions % The Power Crisis Run-time

More information

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering

Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling. Tam Chantem Electrical & Computer Engineering Enhancing Multicore Reliability Through Wear Compensation in Online Assignment and Scheduling Tam Chantem Electrical & Computer Engineering High performance Energy efficient Multicore Systems High complexity

More information

Tracking board design for the SHAGARE stratospheric balloon project. Supervisor : René Beuchat Student : Joël Vallone

Tracking board design for the SHAGARE stratospheric balloon project. Supervisor : René Beuchat Student : Joël Vallone Tracking board design for the SHAGARE stratospheric balloon project Supervisor : René Beuchat Student : Joël Vallone Motivation Send & track a gamma-ray sensor in the stratosphere with a meteorological

More information

Process Scheduling for RTS. RTS Scheduling Approach. Cyclic Executive Approach

Process Scheduling for RTS. RTS Scheduling Approach. Cyclic Executive Approach Process Scheduling for RTS Dr. Hugh Melvin, Dept. of IT, NUI,G RTS Scheduling Approach RTS typically control multiple parameters concurrently Eg. Flight Control System Speed, altitude, inclination etc..

More information

Exam Spring Embedded Systems. Prof. L. Thiele

Exam Spring Embedded Systems. Prof. L. Thiele Exam Spring 20 Embedded Systems Prof. L. Thiele NOTE: The given solution is only a proposal. For correctness, completeness, or understandability no responsibility is taken. Sommer 20 Eingebettete Systeme

More information

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection

Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection Three-Tier 3D ICs for More Power Reduction: Strategies in CAD, Design, and Bonding Selection Taigon Song 1, Shreepad Panth 2, Yoo-Jin Chae 3, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

MULTIPROCESSOR systems-on-chips (MPSoCs) are

MULTIPROCESSOR systems-on-chips (MPSoCs) are IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION SYSTEMS, VOL. X, NO. X, MONTH 2010 1 Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs Thidapat Chantem, Student Member,

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #3: Power Estimation, Interconnect, & Architecture Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: PDP, EDP, Intersignal Correlations, Glitching, Top

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint

Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint 2011 IEEE/ACM International Conference on Green Computing and Communications Throughput Maximization for Intel Desktop Platform under the Maximum Temperature Constraint Guanglei Liu 1, Gang Quan 1, Meikang

More information

Special Nodes for Interface

Special Nodes for Interface fi fi Special Nodes for Interface SW on processors Chip-level HW Board-level HW fi fi C code VHDL VHDL code retargetable compilation high-level synthesis SW costs HW costs partitioning (solve ILP) cluster

More information

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons

Status. Embedded System Design and Synthesis. Power and temperature Definitions. Acoustic phonons. Optic phonons Status http://robertdick.org/esds/ Office: EECS 2417-E Department of Electrical Engineering and Computer Science University of Michigan Specification, languages, and modeling Computational complexity,

More information

Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources

Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources Design and Analysis of Time-Critical Systems Response-time Analysis with a Focus on Shared Resources Jan Reineke @ saarland university ACACES Summer School 2017 Fiuggi, Italy computer science Fixed-Priority

More information

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING Abhishek Mishra and Anil Kumar Tripathi Department of

More information

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips Minki Cho, William Song, Sudhakar Yalamanchili, and

More information

Throughput of Multi-core Processors Under Thermal Constraints

Throughput of Multi-core Processors Under Thermal Constraints Throughput of Multi-core Processors Under Thermal Constraints Ravishankar Rao, Sarma Vrudhula, and Chaitali Chakrabarti Consortium for Embedded Systems Arizona State University Tempe, AZ 85281, USA {ravirao,

More information

A New Task Model and Utilization Bound for Uniform Multiprocessors

A New Task Model and Utilization Bound for Uniform Multiprocessors A New Task Model and Utilization Bound for Uniform Multiprocessors Shelby Funk Department of Computer Science, The University of Georgia Email: shelby@cs.uga.edu Abstract This paper introduces a new model

More information

Lecture 27: Hardware Acceleration. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 27: Hardware Acceleration. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 27: Hardware Acceleration James C. Hoe Department of ECE Carnegie Mellon niversity 18 447 S18 L27 S1, James C. Hoe, CM/ECE/CALCM, 2018 18 447 S18 L27 S2, James C. Hoe, CM/ECE/CALCM, 2018

More information

Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters

Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters Hongyang Sun a,, Patricia Stolf b, Jean-Marc Pierson b a Ecole Normale Superieure de Lyon & INRIA, France

More information

Problems in VLSI design

Problems in VLSI design Problems in VLSI design wire and transistor sizing signal delay in RC circuits transistor and wire sizing Elmore delay minimization via GP dominant time constant minimization via SDP placement problems

More information

Temperature Aware Floorplanning

Temperature Aware Floorplanning Temperature Aware Floorplanning Yongkui Han, Israel Koren and Csaba Andras Moritz Depment of Electrical and Computer Engineering University of Massachusetts,Amherst, MA 13 E-mail: yhan,koren,andras @ecs.umass.edu

More information

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of

Today. ESE532: System-on-a-Chip Architecture. Energy. Message. Preclass Challenge: Power. Energy Today s bottleneck What drives Efficiency of ESE532: System-on-a-Chip Architecture Day 20: November 8, 2017 Energy Today Energy Today s bottleneck What drives Efficiency of Processors, FPGAs, accelerators How does parallelism impact energy? 1 2 Message

More information

EM Simulations using the PEEC Method - Case Studies in Power Electronics

EM Simulations using the PEEC Method - Case Studies in Power Electronics EM Simulations using the PEEC Method - Case Studies in Power Electronics Andreas Müsing Swiss Federal Institute of Technology (ETH) Zürich Power Electronic Systems www.pes.ee.ethz.ch 1 Outline Motivation:

More information

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators Sandeep D souza and Ragunathan (Raj) Rajkumar Carnegie Mellon University High (Energy) Cost of Accelerators Modern-day

More information

Modern Computer Architecture

Modern Computer Architecture Modern Computer Architecture Lecture1 Fundamentals of Quantitative Design and Analysis (II) Hongbin Sun 国家集成电路人才培养基地 Xi an Jiaotong University 1.4 Trends in Technology Logic: transistor density 35%/year,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power

CMPEN 411 VLSI Digital Circuits Spring Lecture 14: Designing for Low Power CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 14: Designing for Low Power [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp12 CMPEN

More information

Analytical Model for Sensor Placement on Microprocessors

Analytical Model for Sensor Placement on Microprocessors Analytical Model for Sensor Placement on Microprocessors Kyeong-Jae Lee, Kevin Skadron, and Wei Huang Departments of Computer Science, and Electrical and Computer Engineering University of Virginia kl2z@alumni.virginia.edu,

More information

Lecture 15: Scaling & Economics

Lecture 15: Scaling & Economics Lecture 15: Scaling & Economics Outline Scaling Transistors Interconnect Future Challenges Economics 2 Moore s Law Recall that Moore s Law has been driving CMOS [Moore65] Corollary: clock speeds have improved

More information

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 9/27/18 VLSI-1 Class Notes Why Clocking?

More information

Practical Dynamic Thermal Management on Intel Desktop Computer

Practical Dynamic Thermal Management on Intel Desktop Computer Florida International University FIU Digital Commons FIU Electronic Theses and Dissertations University Graduate School 7-12-2012 Practical Dynamic Thermal Management on Intel Desktop Computer Guanglei

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 1

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 1 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Modeling, Analysis, and Hard Real-time Scheduling of Adaptive Streaming Applications Jiali Teddy Zhai, Sobhan Niknam, and Todor

More information

Thermomechanical Stress-Aware Management for 3-D IC Designs

Thermomechanical Stress-Aware Management for 3-D IC Designs 2678 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER 2017 Thermomechanical Stress-Aware Management for 3-D IC Designs Qiaosha Zou, Member, IEEE, Eren Kursun,

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis EE115C Winter 2017 Digital Electronic Circuits Lecture 19: Timing Analysis Outline Timing parameters Clock nonidealities (skew and jitter) Impact of Clk skew on timing Impact of Clk jitter on timing Flip-flop-

More information

Embedded Systems 14. Overview of embedded systems design

Embedded Systems 14. Overview of embedded systems design Embedded Systems 14-1 - Overview of embedded systems design - 2-1 Point of departure: Scheduling general IT systems In general IT systems, not much is known about the computational processes a priori The

More information

System-Level Mitigation of WID Leakage Power Variability Using Body-Bias Islands

System-Level Mitigation of WID Leakage Power Variability Using Body-Bias Islands System-Level Mitigation of WID Leakage Power Variability Using Body-Bias Islands Siddharth Garg Diana Marculescu Dept. of Electrical and Computer Engineering Carnegie Mellon University {sgarg1,dianam}@ece.cmu.edu

More information

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System

TU Wien. Energy Efficiency. H. Kopetz 26/11/2009 Model of a Real-Time System TU Wien 1 Energy Efficiency Outline 2 Basic Concepts Energy Estimation Hardware Scaling Power Gating Software Techniques Energy Sources 3 Why are Energy and Power Awareness Important? The widespread use

More information

Predicting Thermal Behavior for Temperature Management in Time-Critical Multicore Systems

Predicting Thermal Behavior for Temperature Management in Time-Critical Multicore Systems Predicting Thermal Behavior for Temperature Management in Time-Critical Multicore Systems Buyoung Yun and Kang G. Shin EECS Department, University of Michigan Ann Arbor, MI 48109-2121 {buyoung,kgshin}@eecs.umich.edu

More information

Memory Thermal Management 101

Memory Thermal Management 101 Memory Thermal Management 101 Overview With the continuing industry trends towards smaller, faster, and higher power memories, thermal management is becoming increasingly important. Not only are device

More information

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect

Lecture 25. Dealing with Interconnect and Timing. Digital Integrated Circuits Interconnect Lecture 25 Dealing with Interconnect and Timing Administrivia Projects will be graded by next week Project phase 3 will be announced next Tu.» Will be homework-like» Report will be combined poster Today

More information

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors Duo Li Dept. of Electrical Engineering University of California Riverside, CA 951 dli@ee.ucr.edu Sheldon X.-D. Tan Dept. of Electrical

More information

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out

Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Reliability Breakdown Analysis of an MP-SoC platform due to Interconnect Wear-out Dimitris Bekiaris, Antonis Papanikolaou, Dimitrios Soudris, George Economakos and Kiamal Pekmestzi 1 1 Microprocessors

More information

Intel Stratix 10 Thermal Modeling and Management

Intel Stratix 10 Thermal Modeling and Management Intel Stratix 10 Thermal Modeling and Management Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 List of Abbreviations...

More information

CMP 338: Third Class

CMP 338: Third Class CMP 338: Third Class HW 2 solution Conversion between bases The TINY processor Abstraction and separation of concerns Circuit design big picture Moore s law and chip fabrication cost Performance What does

More information

Energy-Optimal Dynamic Thermal Management for Green Computing

Energy-Optimal Dynamic Thermal Management for Green Computing Energy-Optimal Dynamic Thermal Management for Green Computing Donghwa Shin, Jihun Kim and Naehyuck Chang Seoul National University, Korea {dhshin, jhkim, naehyuck} @elpl.snu.ac.kr Jinhang Choi, Sung Woo

More information