SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL POLISHING SELECTIVITY

Size: px
Start display at page:

Download "SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL POLISHING SELECTIVITY"

Transcription

1 SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL POLISHING SELECTIVITY By KYOUNG-HO BU A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY UNIVERSITY OF FLORIDA

2 2007 Kyoung-Ho Bu 2

3 To my beloved family, Mineok, Minji, and Seongah Byeon. 3

4 ACKNOWLEDGMENTS It is a privilege to work with intelligent and committed individuals. Too many people to mention have influenced my work and provided inspiration and useful suggestions over many years, but I would especially like to express my appreciation to my advisor, Dr. Brij Moudgil, for his invaluable research guidance and constructive support through intense discussions and productive feedback on this study. His sincere dedication to science, discipline in conducting research and considerate attention to details have always kept me moving forward and made significant contributions to this dissertation. I would also like to acknowledge the other members of my advisory committee, Dr. Rajiv Singh, Dr. Stephen Pearton, Dr. Dinesh Shah, and Dr. Wolfgang Sigmund, for their indispensable support. I also wish to acknowledge Dr. Susan Sinnott, Dr. Chang-Won Park, Dr. Yakov Rabinovich, Dr. Ivan Vakarelski, Dr. Parvesh Sharma, and Dr. Manoj Varshney who have informed and elaborated this work, with special appreciation to Dr. Ko Higashitani for his valuable insights. I am grateful to the National Science Foundation s Engineering Research Center for Particle Science and Technology for financially supporting this research (Grant EEC ). To Gary Schieffele, Gill Brubaker, and all other ERC staff, faculty, and administrators, I extend my hearty thanks for making my time there productive. Colleagues and friends who have contributed to this research through critical discussions as well as friendship include Scott Brown, Vijay Krishna, Madhavan Esayanur, Rhye Hamey, Marie Kissinger, Monica James, Dushyant Shekhawat, Suresh Yeruva, Kalyan Gokhale, Amit Singh, Debamitra Duta, Stephen Tedeschi, Sejin Kim, Takgeun Oh, Sangyup Kim, Won-Seop Choi, Seung-Mahn Lee, Kyo-Se Choi, Suho Jung, and Inkuk Jun. I also thank Bryce Devine and Bryan Op t Holt for training me how to use modeling tools. 4

5 I have been blessed with Father Sangsun Park in Gainesville Korean Catholic Church who helps me have peace in mind, and blessed with my children, Minseok and Minji, who encourage me to overcome obstacles and motivate me to try my best in life. In addition, I owe particular debts to my parents and my parents-in-law for their strong confidence in my family. Finally, I am always grateful to my wife, Seongah, for her patience and support in spite of all ups and downs during my study. This work would not have been possible without her. 5

6 TABLE OF CONTENTS ACKNOWLEDGMENTS...4 LIST OF TABLES...8 LIST OF FIGURES...9 ABSTRACT...13 CHAPTER 1 INTRODUCTION LITERATURE REVIEW...21 page Shallow Trench Isolation (STI) Structure and Selectivity of Slurry...21 Influence of Selectivity on Global Planarization in STI CMP Process...22 Nanotopography...24 Surfactant Mediated Lubrication Effects...24 Surface Chemical Characteristics of SiO 2 and Si 3 N 4 Surfaces in Aqueous Solution...25 Surfactants Adsorption on Silicon Nitride and Lubrication Effect...26 Mixed Surfactants System...27 Research Approach CMP CHARACTERISTICS OF SILICA AND SILICON NITRIDE...37 Experimental...38 Relationship between Material Removal Rate (MRR) and Young s Modulus...39 Role of Electrostatic Interactions on MRR...41 Effect of ph...42 Effect of Salt Addition...45 Parameters Affecting Surface Finish in STI CMP...48 Salt Mediated Lubrication ROLE OF SURFACTANTS IN DEVLOPING SELECTIVE PASSIVATION LAYER IN CMP...72 High Selectivity Slurry Using Surfactants...73 Surfactant Mediated Boundary Layer Lubrication for Selective Polishing...75 Optimization of High Selectivity Slurry ADSORPTION STUDY OF SODIUM DODECYL SULFATE ON SILICA...86 Adsorption Behavior of SDS on Silica...87 Structure of Adsorbed SDS Molecules

7 6 APPLICATION OF DENSITY FUNTIONAL THEORY BASED MODELING FOR SURFACTANT ADSORPTION STUDY Methodologies Structures and Resources Results and Discussion SDS Adsorption on Silica at, below, and above the Isoelectric Point (IEP) SDS Adsorption on Silicon Nitride at IEP TX-100 Adsorption on Silica at IEP CONCLUSIONS AND SUGGESTIONS FOR FUTURE WORK Conclusions Suggestions for Future Work LIST OF REFERENCES BIOGRAPHICAL SKETCH

8 LIST OF TABLES Table page 1-1 A Product Generations and Chip Size Model Technology Trend Targets Near-term Years Young s modulus, hardness measured by nanoindentation method, material removal rate (MRR), ratio of MRR (CMP pressure of 7 psi), and ratio of Young s modulus for silica and silicon nitride Adsorption energy (kcal/mol) calculated by density functional theory (DFT) based method (B3LYP) using 6-31G* basis set Adsorption free energy (kcal/mol) of SDS on silica calculated from adsorption density data in Ch. 5 at different ph and two different added concentrations (1.6mM and 16mM)

9 LIST OF FIGURES Figure page 1-1. Schematic representation of chemical mechanical polishing (CMP) process Moore's Law Means More Performance Multilevel metallization, cross section with silica dielectric and aluminum metallization Schematic shallow isolation structure Nanotopography (a) Top view and (b) cross-section graph of substrate nanotopography In-situ friction force and material removal rate responses of the baseline slurries (12 wt%, 0.2 mm primary particle size) and the slurries containing C 12 TAB, C 10 TAB and C 8 TAB surfactants at 32, 68 and 140 mm concentrations in the presence of 0.6 M NaCl at ph Lateral force as a function of loading force in the presence of surfactant [22] Zeta potential behavior of silica, silicon nitride, cerium oxide (ceria), and polishing pad (polyurethane) with respect to the ph Maximum surface concentration of benzoic acid ( ) and pyridine ( ) obtained by fitting the adsorption data to a Langmuir-Freundlich equation Friction coefficient of silicon nitride ceramic as a function of load in pure water ( ) and silane aqueous solution ( ) The mechanism of high-ionic-strength slurry stabilization by the synergistic mixture of anionic and nonionic surfactants Variations of mateiral removal rate (MRR) for silica and silicon nitride substrate as a function of applied pressure by using undiluted (30 wt%) colloidal silica slurry at ph Variations of MRR of silica and silicon nitride substrate and calculated electrostatic force between two abrasives as a function of ph of the diluted (12 wt%) colloidal silica-based slurry (Klebosol ) Particle size distributions of colloidal silica slurry at two different ph conditions Zeta potential of colloidal silica slurry and electrostatic force between silica abrasive particles

10 3-5. Variations of MRR and calculated electrostatic force between two abrasives as a function of slurry NaCl salt concentrations in the slurry at ph Particle size distributions of colloidal silica slurry (Klebosol , 12 wt%) as a function of salt concentrations at ph Surface roughness of silica and silicon nitride substrate after CMP as a function of added salt (NaCl) concentration at ph Material removal rate of silica and silicon nitride as a function of repulsive electrostatic force between silica abrasives Surface roughness of silica and silicon nitride substrates after CMP as a function of slurry ph Surface morphologies and profiles of substrates from two ph conditions Material thickness change of silica and silicon nitride substrates as a function of immersed time in ph 13 NaOH solution Surface morphologies and profiles of substrates before and after etching in ph 13 NaOH solutions Etch pits formed on (a) silica and (b) silicon nitride substrate immersed in 0.1 M (ph 13) NaOH solution for 12 days Lateral force of a 6.8 μm silica particle interacting with a silica substrate in pure water and CsCl, NaCl, and LiCl solutions of 1 M Schematic representation of the hypothetical frictional mechanisms Particle size distributions of colloidal silica slurry (Fuso PL-7) without salt and with 1 M LiCl and 1 M CsCl Material removal rate of silica substrates by CMP using diluted (9.6 wt%) colloidal silica slurries (PL-7) without salt and with 1 M LiCl and 1 M CsCl as a function of applied polishing pressure Influence of SDS addition on CMP performances Surface finish of silica and silicon nitride substrates processed with standard and high selectivity slurry Variation of zeta potential of silica and silicon nitride substrate and adsorption density of 16mM SDS on silica and silicon nitride powder measured by total organic carbon (TOC)

11 4-4. Variation of MRR and accompanying selectivity of Klebosol slurry (12 wt%) as a function of added SDS concentration at ph Adsorption density of SDS on 12 wt% Klebosol slurry with 16 mm SDS as a function of ph Effect of alkyl chain length of sodium alkyl sulfate on MRR and selectivity at ph MRR and selectivity obtained by slurries with various surfactant and surfactant mixtures at ph Adsorption isotherm of SDS on colloidal silica (Klebosol , 12 wt%) at ph Adsorption density of SDS on colloidal silica (12 wt% Klebosol ) at SDS concentration of 1.6 mm and 16 mm and zeta potential as a function of ph Zeta potential of Klebosol slurry as a function of SDS concentration at ph Pictorial depictions of the possible surfactant aggregates films at concentrations corresponding to I-IV in Figure Adsorption characteristics of SDS on Klebosol silica slurry and zeta potential as a function of concentration of SDS at ph FTIR/ATR Spectra of SDS solution at 1, 2.5, 5 and 10 mm bulk concentration in the CH 2 stretching region (2921, 2924) measured at ph 10.4 using Si ATR crystal Particle size distribution of Geltech SiO 2 at ph 2 with and without 16 mm SDS 12 hours after ph change Optimized (a) Si(OH) 4, (b) Si(NH 2 ) 4, (c) Sodiumdodecyl sulfate (SDS), and (d) Triton X-100 (TX-100) structure using B3LYP method and 6-31G* basis set Optimized SiOH 4 and DS - complex structure using B3LYP method and 6-31G* basis set Optimized SiOH 5 + and DS - complex structure using B3LYP method and 6-31G* basis set Sturcture of SiO 4 H 3 - and DS - complex. Optimization is not complete, since two molecules are being separated to decrease energy Optimized SiO 4 H 3 -, Na +, and DS - complex structure using B3LYP method and 6-31G* basis set Optimized Si(NH 2 ) 4 and DS - complex structure using B3LYP method and 6-31G* basis set

12 6-7. Optimized SiOH 4 and TX-100 complex structure using B3LYP method and 6-31G* basis set

13 Abstract of Dissertation Presented to the Graduate School of the University of Florida in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy SURFACTANT MEDIATED PASSIVATION TO ACHIEVE CHEMICAL MECHANICAL POLISHING SELECTIVITY Chair: Brij M. Moudgil Major: Materials Science and Engineering By Kyoung-Ho Bu May 2007 Chemical mechanical polishing (CMP) is an indispensable technique in the microelectronics industry to achieve planarization and patterning of metal and dielectric layers. Device fabrication using high density and small pattern size requires precise control of CMP slurry properties. In this study, the performance of a colloidal silica CMP slurry for silica/silicon nitride, which consists of the shallow trench isolation (STI) structures, was investigated. Factors determing material removal rate and surface finish were examined. It was found that electrostatic interactions can have significant effects on CMP performance. Emphasis was placed on selective removal of material. More than 10-fold increase in selectivity over conventional colloidal silica slurry was achieved with the addition of sodium dodecyl sulfate (SDS), an anionic surfactant. Adsorption characteristics of SDS on silica and silicon nitride were measured as a function of slurry ph and surfactant concentration. It was determined that the preferential adsorption of SDS on silicon nitride by electrostatic attraction results in the formation of a material-selective selfassembled passivation (boundary lubrication) layer leading to selective polishing. It was found that the adsorption density of surfactant plays a dominant role in determining selectivity. 13

14 Accordingly, material-targeted boundary layer lubrication concept may be used to develop selective CMP polishing slurries. A theoretical approach based on density function theory was attempted to model various aspects of surfactant adsorption. Through this approach, it was possible to predict adsorption behavior and related thermodynamic properties to assist selection of passivating molecules. 14

15 CHAPTER 1 INTRODUCTION Chemical mechanical polishing (CMP) is the planarization technique predominantly used for the fabrication of multilayer devices. Main components for CMP process include the substrate to be polished, the slurry that provides the chemistry and abrasives for mechanical removal-and the polishing pad. A schematic of CMP system is shown in Figure 1-1. Due to the demand for the faster and smaller devices, the number of devices (density) on a single wafer is expected to grow constantly as depicted in Figure 1-2. Accordingly, the size of components of a device is expected to become smaller as listed in Table 1-1. Hence the requirements for large scale integration are becoming more challenging. Current semiconductor devices are composed of multilayers as shown in Figure 1-3. Due to the planarity requirement for lithography processes, further processing is not possible if the required planarity is not achieved. In addition, the standard for global planarization is becoming more demanding due to the high degree of device integration. Among the various structures requiring CMP, shallow trench isolation (STI) is one of the most challenging, due to its large variation in pattern density. There are a number of possible approaches to accomplish global planarization in STI CMP process. Among these, the development of high selectivity slurries has been gaining more significance in order to accomplish a one-step CMP process for global planarization. State of the art, high selectivity ceria based slurry has several drawbacks such as problems with coagulation and high defectivity, whereas conventional silica based slurries are known to be free of those problems, but they exhibit low polishing selectivity between silicon nitride and silica substrates. In this dissertation, silica based slurries were modified to achieve the targeted selectivity of 15 or higher. 15

16 The overall objective of the proposed investigation is to improve the selectivity (ratio of material removal rate of silica to silicon nitride) of the STI CMP slurry. Specific objective is to differentially modify surface states of silicon nitride and silica with surfactant or polymer adsorption, thereby selectively minimizing silicon nitride polishing, and thus leading to enhanced global planarization in STI CMP process. A synopsis of the various research tasks constituting this study is organized as follows. Chapter 2 reviews the literature on the STI CMP process and slurry selectivity. Different defects, hampering device performance will be addressed. The selectivity of the CMP slurry will be defined and its effect on global planarization will be discussed. Finally, strategies to increase the selectivity will be suggested. Chapter 3 covers the CMP characteristics of silica and silicon nitride substrates by colloidal silica slurry with respect to the material removal rate (MRR) and surface finish. Variables affecting the polishing process have been studied with special emphasis on electrostatic interactions. Chapter 4 presents the methodologies to increase the selectivity of the slurry. Specific mechanisms for observed results will be discussed. Chapter 5 discusses the adsorption behavior of sodium dodecyl sulfate (SDS) on silica substrates, since it was found that SDS adsorption on silica abrasive particles determines the necessary dosage of surfactant to fabricate high selectivity slurries. Chapter 6 describes the modeling efforts to develop methodologies based on density functional theory to predict optimal conditions for selective surfactant coating. Chapter 7 summarizes the conclusions of this study and suggests future work. 16

17 Table 1-1 A Product Generations and Chip Size Model Technology Trend Targets Near-term Years [1]. Year of Production DRAM ½ Pitch (nm) (contacted) MPU/ASIC Metal 1 (M1) ½ Pitch (nm) MPU Printed Gate Length (nm) MPU Physical Gate Length (nm) ASIC/Low Operating Power Printed Gate Length (nm) ASIC/Low Operating Power Physical Gate Length (nm) Flash ½ Pitch (nm) (un-contacted Poly)(f)

18 (a) (b) Substrate Holder Slurry Feed Platen Holder Slurry Feed Polishing Pad Figure 1-1. Schematic representation of chemical mechanical polishing (CMP) process. (a) Side view; (b) Top view. 18

19 Figure 1-2. Moore's Law Means More Performance. Processing power, measured in millions of instructions per second (MIPS), has steadily risen because of increased transistor counts [2]. 19

20 Figure 1-3. Multilevel metallization, cross section with silica dielectric and aluminum metallization [3]. 20

21 CHAPTER 2 LITERATURE REVIEW Shallow Trench Isolation (STI) Structure and Selectivity of Slurry Chemical mechanical polishing or planarization (CMP) is the key technology for shallow trench isolation (STI) process. STI process can reduce the required area for the device isolation and give better planarity relative to the local oxidation of silicon (LOCOS) process. Therefore, existing sub-0.13 μm technologies device isolation techniques strongly depend on the STI CMP process [4-7]. There are several drawbacks such as dishing of silica, erosion of silicon nitride and failure to clear oxide that hamper global planarization in CMP process [8]. Typically the thickness uniformity across the substrate (usually called within-substrate non-uniformity, or WIWNU) must be below 3%, and dishing must typically be less than 20~50 nm. To minimize such defects, current STI CMP process is comprised of multi-steps [9] or raw structure modifications such as reverse mask, dummy active area, and additional active area [10]. For better productivity and process simplicity, a minimum number of process steps are highly desired and accordingly, approaches for high selectivity single-step slurry designs are being widely investigated [11-13]. Usually selectivity represents the ratio of material removal rate (MRR) of silica to silicon nitride: Selectivit y = Material removal rate of Silica Material removal rate of Silion nitride (2-1) In general, conventional silica abrasive based STI CMP slurry exhibits selectivity in the range of 3 to 4 [14]. According to the result reported by J. Schlueter, erosion of silicon nitride could be minimized to less than 100 Å using ceria based high selectivity slurry in a multi-step STI CMP [15]. Besides the influence on planarization, high selectivity provides enhanced endpoint detection capability. Generally, if oxide to nitride polishing selectivity is greater than 21

22 15, monitoring substrate carrier motor current can be utilized for endpoint detection [11]. Therefore, research on improving selectivity and understanding the polishing mechanisms to achieve global planarization are needed. In this study, systematic approaches and strategies to improve selectivity of the STI CMP slurry for single-step CMP process were investigated. In the following sections, the detailed influences of selectivity on global planarization will be introduced, and issues of nanotopography that justify a strong need for high selective STI CMP process will be outlined. Next, a brief review of the polishing passivation/inhibition mechanism (i.e., surfactant mediated lubrication effects) will be provided. Surface chemical characteristics of silica and silicon nitridewill be reviewed, followed by examples of specifically adsorbing surfactants on silicon nitride surface. As an alternative to inhibit polishing of silicon nitride by surfactants, silane additives to form passivation layer on silicon nitride will be introduced. Influence of Selectivity on Global Planarization in STI CMP Process As previously mentioned, several obstacles exist inhibiting global planarization in STI CMP. Figure 2-1 shows a schematic of a typical STI structure. It consists of a silicon device, a silicon nitride mask, and a silica insulating layer inside of the trenches. In the ideal CMP process, the oxide should be removed completely in all active regions, leaving it only in the trench regions (Figure 2-1 (b)) without eroding silicon nitride. In reality, there are three failure modes such as failure to clear oxide, excessive removal of nitride, and excessive removal of oxide [8]. The former is primarily an end-point detection issue, whereas the other two mechanisms are closely related to the pattern density of the device, selectivity of slurry, pad stiffness, imposing pressure, etc. [12]. To minimize these barriers, several approaches have been evaluated. One method is to use a stiffer pad and lower selectivity slurry [5], and the other is to use a softer pad and higher selectivity slurry [16]. When a stiffer pad is used, which does not bend in the applied 22

23 pressure range, the highest portion of the surface will start to be polished ultimately resulting in global planarization. However, there is also a possibility of poor surface finish and wafer breakage. When a softer pad is used, which has a greater flexibility, all the structures on substrate will be in contact to pad, and hence a high selectivity slurry will be required not to preferentially polish the unwanted structure. In this case, the risk of poor surface finish and substrate breakage will be reduced. Current high selectivity slurries in STI CMP usually contain ceria abrasives showing higher material removal rate for silica than silicon nitride [16]. In general, for higher pattern densities of which the area of silica isolation layer is not large, dishing effect decreases, since the pad bending is limited. For lower pattern densities, dishing effect increases because the pad bending is high [17]. Therefore in each case, the pad materials and operating pressure should be chosen appropriately. Kim et al. investigated the influence of slurry selectivity of the slurry on erosion and planarity by modeling. It was predicted that above 30% active pattern density, high selectivity slurries show good planarity [18]. In these cases, planarity is defined as the difference of height between the highest region and the lowest region on a substrate. Considering that higher pattern densities of the device will be required with decreasing device size in the future, a systematic research for a high selectivity slurry will be essential to meet these goals. In general, current STI CMP processes use silica abrasives that show low selectivity (about 3-4) [14]. W. G. America investigated the influence of selectivity on material removal rate of silica and silicon nitride using silica and ceria abrasives. In this case, the material removal rate of silica and silicon nitride was determined to be about 2700 Å/min and 700 Å/min, respectively [19]. Recently, ceria abrasives have shown higher selectivity (more than 5), and are being 23

24 investigated for further enhancement. According to W. G. America, material removal rate of silica using ceria abrasives was more than 5700 Å/min as compared to 800 Å/min for silicon nitride [19]. However in ceria CMP, the ph at which maximum polishing rate and maximum selectivity are achieved is about 8, which also is the isoelectric point (IEP) of ceria. This results in coagulation of ceria yielding poor surface morphologies with scratches and higher roughness [13]. Recently, it has been reported that by decreasing the size of ceria abrasive particles, the number of scratches can be decreased significantly [20]. Nanotopography An emerging issue impairing global planarization in STI CMP is nanotopography. This phenomenon is becoming a strong driving force for developing high selectivity slurries. Nanotopography is a term used to describe relatively gentle ( nm) surface height variations occurring over lateral distances of 1-10 mm on unpatterned silicon substrates (Figure 2-2). Boning et al. have investigated this issue by modeling and verified it by experiments, and have suggested that due to the height variation of blanket wafer, several defect mechanisms come into play such as failure to clear oxide and excess nitride thinning (erosion). It has been commonly believed that stiffer pad would yield acceptable planarization [5]. On the contrary, it has been shown that softer pad and lower pressure is more effective in minimizing such defects [5]. With respect to this phenomena, if the selectivity of the slurry is not high enough and endpoint detection is not accurate, accompanying erosion will be unavoidable. Silicon nitride erosion can be minimized if only additional protective layers exist on silicon nitride surface. Surfactant Mediated Lubrication Effects As a protective mechanism from polishing for silicon nitride, one of the approaches is to incorporate surfactant mediated lubrication effects. Basim et al. have shown that the addition of 24

25 long chain cationic surfactant (e.g. C 12 TAB) produces an enhanced defect-free surface morphology but the polishing rate was extremely small due to the lubrication effect of surfactant (Figure 2-3.) [21]. Although this research was focused on dispersion of abrasive particles, it implied that long chain surfactant can act as an anti-polishing agent. Vakarelski et al. showed that the primary mechanism of lubrication is the formation of an intervening surfactant aggregate film on solid-liquid interface largely by electrostatic interactions [22]. In addition, the decrease in frictional force depends on the concentration of surfactant. After the concentration reaches critical micelle concentration (CMC), there was no further decrease in lateral (frictional) force. The effect of surfactant concentration on the lateral force is illustrated in Figure 2-4. Surface Chemical Characteristics of SiO 2 and Si 3 N 4 Surfaces in Aqueous Solution Understanding the surface chemistry of substrates is the first step to implement the above approach to create a selective passivation/lubrication layer. It is well known that silicon nitride forms the same type of surface hydroxyl layer as silica in an aqueous solution. However, there is a difference in the surface group compositions. Figure 2-5 illustrates the zeta potential variation with respect to ph. Unlike silica (IEP of 2.2), silicon nitride exhibits an IEP of about 5.8. This difference is explained on the basis of relative number of silanol (Si-OH) and amine (Si 2 -NH) groups on the silicon nitride surface as compared to only silanol groups on silica surface [23]. The silanol groups are acidic in nature and thus result in a lower IEP, while the presence of amine groups results in a higher IEP. In the case of silicon nitride powder with an IEP of ph 6, the ratio of nitrogen to oxygen was calculated to be approximately 0.2, and it was nearly 1 for powders with an IEP of ph 7.9 [23]. Sonnefeld et al. reported, based on potentiometric titration measurements, that the surface site densities of amine group (Si 2 NH) and that of silanol group (SiOH) are 0.56 /nm 2 and

26 /nm 2, respectively on the silicon nitride surface [24]. Density of silanol groups on silica surface was estimated to be 0.74 /nm 2 [25]. From these values, converted area of amine and silanol groups for molecular adsorption is 1.79 nm 2 and nm 2, respectively on the silicon nitride surface, and area of silanol gropup on silica was 1.35 nm 2. Surfactants Adsorption on Silicon Nitride and Lubrication Effect There have been many reports on stabilization of silicon nitride powders using polymeric dispersants [26-30]. Malghan et al. investigated the dispersion behavior of silicon nitride powder using both cationic - Betz 1190 (quaternized polyamine epoxychlorohydrin) - and anionic - Darvan C (ammonium poymethacrylate) - polymers [29]. In the case of cationic polyelectrolyte (CPE), there was strong electrostatic attraction between CPE and silicon nitride powder at ph 9 leading to stable dispersion, while in the case of anionic polyelectrolyte (APE), the adsorption was very restricted due to the similar surface charge, consequently, small adsorption occurred possibly due to the hydrogen bonding. According to Hackley et al., anionic poly acrylic acid (PAA) adsorption on silicon nitride surface decreased from 100% at ph 3 to around 25% at ph 10, however, stable dispersion was achieved due to depletion forces in the presence of PAA [26]. Besides the sign of surface charge, hydrogen bonding plays an important role in adsorption of organic molecules on silicon nitride. Bergström et al. investigated the adsorption behavior of various organic probe molecules in cyclohexane [31]. They showed that benzoic acid and benzyl amine prefer to adsorb on the basic amine (Si 2 NH) groups via hydrogen bonding (N-H) (Figure 2-6). To accomplish selective adsorption of surfactants or polymers on silicon nitride surface, anionic surfactants should be investigated first considering that nitride shows higher negative zeta potential at ph 10.5 for current CMP conditions. Philipossian et al. showed that by applying anionic poly-carboxylate, the selectivity increased from 5 to 100 [32]. They used ceria abrasives for silica polishing at ph 8. According to their results, most of anionic surfactant adsorbed on 26

27 silicon nitride with some amount of polymer adsorption on silica and ceria abrasive particles, resulting in overall decreased MRR from 500 to 100 (a.u.). Hibi et al. investigated the lubrication effect of silane coupling agents (3-(2- aminoethylaminopropyl) dimethoxymethylsilane) on silicon nitride and alumina ceramics (Figure 2-7) [33]. They reported that amino-containing silane coupling agents formed the crosslinked polysiloxane by hydrolysis and dehydrative condensation, which was effective in reducing both friction and wear of silicon nitride. In other words, the additives reduced the wear of silicon nitride as a result of inhibition of silicon nitride reaction with water. In this case, the silane agents reacted with the oxide (silanol group) on silicon nitride surface. As mentioned above, since the density of silanol groups on silica and silicon nitride surface was estimated to be 0.74 /nm 2 and 1.83 /nm 2, respectively [24, 25], the extent of the passivation on silicon nitride and silica is expected to be different. Mixed Surfactants System Palla et al. investigated the use of mixed surfactants to disperse the alumina abrasive particles in CMP. They reported that by applying anionic surfactant, sodium dodecyl sulfate (SDS), mixed with various nonionic surfactants, the dispersion stability was highly improved [34]. The schematic of the slurry stabilization of alumina abrasives is shown in Figure 2-8. In this scheme, adsorption was attributed to strong adsorption of ionic surfactants on abrasive particles, and association of nonionic surfactants with ionic surfactants via hydrocarbon chain interactions (attractive hydrophobic forces). Alumina is known to have Lewis active site similar to silicon nitride, hence, it can be envisioned that mixed surfactants concept can be applied to silicon nitride-silica system. However, under the normal CMP ph conditions, zeta potential of silicon nitride is negative, indicating the greater significance of electrostatic interaction. 27

28 Research Approach Commercial ceria abrasive STI CMP slurries with selectivity of about 5 are known to result in high defectivity and post-cmp cleaning problems, while colloidal silica slurries has a lower selectivity of 3 to 4, although they exhibit acceptable defectivity. Therefore in the proposed research, surfactants that selectively adsorb on silicon nitride will be investigated and methods to inhibit polishing and the mechanisms will be studied to improve global planarity. One of the major challenges is the fact that both materials have silanol group on their surfaces in water and show negative zeta potential at the conventional CMP ph of The ideal solution is to find a surfactant, which has selective affinity only to silicon nitride. To achieve this goal, several anionic surfactants and mixed surfactant systems will be investigated in terms of adsorption with respect to ph and added surfactant concentration. In using silica abrasives under current CMP conditions, anionic surfactants adsorption on abrasive particles will be largely opposed due to the similar (negative) charge of the adsorbate and adsorbent. Therefore, to increase the amount of surfactant adsorption on silicon nitride, readjustment of CMP process ph to a lower value may be required. Since ph plays a dominant role in determining surfactant adsorption through electrostatic interactions, detailed investigation of the adsorption behavior of the anionic surfactant as a function of ph will be required to achieve optimal surfactant adsorption. 28

29 (a) Before CMP SiO 2 (b) Ideal result after CMP Si 3 N 4 Si (c) Erosion (d) Dishing (e) Failure to clear oxide Figure 2-1. Schematic shallow isolation structure: (a) Initial structure before CMP: typical trench isolation structure used to isolate active regions on a substrate where devices will be built. The nitride layer has been patterned and a shallow trench etched into the silicon. An oxide has then been deposited into the trench, which also results in overburden oxide above the nitride active areas. (b) ideal result after CMP: the oxide is removed completely in all active regions, leaving oxide only in the trench regions. Three key failure mechanisms may arise: (c) excessive removal (erosion) of nitride in active areas, (d) excess removal of oxide (dishing) within the trench, and (e) failure to clear oxide from nitride active areas [8]. 29

30 (a) (b) nm -100 nm WAFER HEIGHT (nm) Nanotopography Length Figure 2-2. Nanotopography (a) Top view and (b) cross-section graph of substrate nanotopography. Dotted line in (a) shows path of scan. The x axis in (b) indicates the distance along the scan path in (a), moving from left to right [8]. 30

31 Figure 2-3. In-situ friction force and material removal rate responses of the baseline slurries (12 wt%, 0.2 mm primary particle size) and the slurries containing C 12 TAB, C 10 TAB and C 8 TAB surfactants at 32, 68 and 140 mm concentrations in the presence of 0.6 M NaCl at ph (Striped bars represent the Friction Force responses and the solid bars represent the Removal Rate responses) [21]. 31

32 Lateral Force (nn) Pure Water 1mM C 12 TAB 8mM C 12 TAB 16mM C 12 TAB 32mM C 12 TAB Loading Force (nn) Figure 2-4. Lateral force as a function of loading force in the presence of surfactant [22]. 32

33 Figure 2-5. Zeta potential behavior of silica, silicon nitride, cerium oxide (ceria), and polishing pad (polyurethane) with respect to the ph [32]. 33

34 Max. surface concentration (μmol/m 2 ) Amount amino groups (%) Figure 2-6. Maximum surface concentration of benzoic acid ( ) and pyridine ( ) obtained by fitting the adsorption data to a Langmuir-Freundlich equation [31]. 34

35 Figure 2-7. Friction coefficient of silicon nitride ceramic as a function of load in pure water ( ) and silane aqueous solution ( ) [33]. 35

36 Figure 2-8. The mechanism of high-ionic-strength slurry stabilization by the synergistic mixture of anionic and nonionic surfactants [34]. 36

37 CHAPTER 3 CMP CHARACTERISTICS OF SILICA AND SILICON NITRIDE The Shallow trench isolation (STI) chemical mechanical polishing (CMP) process involves polishing of silica and silicon nitride layer. Therefore, the characteristics of the both materials are very important for process optimization and overall STI CMP process performance. Besides, silicon nitride is widely used for various applications such as giant magnetoresistance (GMR) and ceramic ball bearings making the research on the CMP characteristics of silicon nitride more significant [35, 36]. There are several abrasives used in STI CMP slurries according to its specific purposes [19, 36, 37]. Among them, colloidal silica is the traditional material, which has long been used for various applications, and its dispersion stability towards various electrolytes is well documented [38-41]. A unique property is that it shows high dispersion stability around its isoelectric point (IEP, ph 2 ~ 4), unlike other materials. It has long been believed that hydration force due to modified water structure at the silica surface or silanol (SiOH) groups give rise to a repulsive forces, which is responsible for the observed phenomena [39, 42]. Another explanation is that the formation of a surface gel layer or short polymer-like hairs protruding from the silica surface can give rise to steric repulsion [43, 44]. In intermediate ph range, silicic acid chains (-Si(OH) 2 -O- Si(OH) 2 -OH) or siloxane bonds (Si-O-Si) are reported to form silica gel relatively easily by reaction between acidic ionized silanol (SiO - ) and neutral silanol (SiOH). At a higher than ph 10, colloidal silica shows stable dispersion again through electrostatic repulsion between almost completely ionized silanol groups. As a result, colloidal silica suspensions are stored and used usually under high ph conditions. When a lower ph application is required, the ph transition is performed in a very short time period to avoid gelation. 37

38 Silica is a promising candidate for the STI CMP due to its high surface quality as compared to other materials. However, the basic CMP characteristics for silica and silicon nitride, which consist of the STI structure, are not completely understood. In this chapter, CMP characteristics of silicon and silicon nitride by colloidal silica abrasives will be discussed with an emphasis on the electrostatic interactions encountered in the system. Experimental The CMP slurry used in this study was Klebosol from Rodel Co. The original slurry of 30 wt% colloidal silica abrasives was diluted with nano-pure water to 12 wt%. The slurry ph was measured to be 10.4 after dilution. HCl and KOH solutions were used for further adjustment of the slurry ph. The study of lubrication by hydrated cations utilized PL-7 supplied by Fuso Chemical Co., which is originally at 20 wt% colloidal silica abrasives. It was diluted with nano pure water to 9.6 wt%, with a final slurry ph of 7.3. Salt concentration was controlled to 1 M by adding the proper amount of 5 M salt solution to the slurry. Concentrated 5 M solution was prepared with analytical grade LiCl and CsCl purchased from Fisher Scientific Co. Silica and silicon nitride wafers were purchased from Silicon Quest International. Two μm thickness of silica thin film was deposited on (100) Si substrate by plasma enhanced chemical vapor deposition (PECVD) method using Tetra Ethyl Ortho Silicate (TEOS) as a source on (111) Si. For the silicon niride wafers, 3000 Å thickness silicon nitride film was deposited on the 3000 Å silica, which was used as a diffusion barrier on (100) Si by low-pressure chemical vapor deposition (LPCVD) method using dichlorosilane (SiCl 2 ) and ammonia (NH 4 ) as source materials. IC 1000/Suba IV stacked pads supplied by Rodel Inc. and TegraPol-35 with TegraForce-5 from Struers Co. tabletop polisher were utilized for CMP purposes. The rotation speed was controlled to 150 rpm both for the pad and the wafer. Material removal rate (MRR) was measured using ellipsometry (Woollam EC110 Ellipsometer) by dividing the decrease in 38

39 thickness by polishing time. In the present study, MRR reproducibility was within ± 5 %. Prior to each polishing step, the pad underwent 30 seconds of conditioning with diamond conditioner. The actual time for polishing was controlled to 30 seconds. Young s modulus and hardness were measured by Nanoindentation method using Hysitron Triboindenter purchased from Hysitron Co. Digital Instruments Nanoscope III atomic force microscope was used for the measurement of surface roughness of substrates after CMP. Zeta potential of the slurry was measured by Acoustosizer purchased from Colloidal Dynamics Co. A variation in the zeta potential values (20 mv) at ph 10.4 was observed for different batches purchased from slurry supplier. A decrease in zeta potential was also observed with aging time (10 mv upon 1 year aging). Accordingly, zeta potential values at the same ph were found to be different depending on the batch and aging time. However for a given sample, the reproducibility of measurement was found to be within ± 3 mv over a month period. Particle size distribution was measured by Coulter particle size analyzer (Coulter LS13320). After dissolution, the pictures of the substrate surface were taken by optical microscopy (Olympus BX60). Relationship between Material Removal Rate and Young s Modulus The MRR of silica and silicon nitride wafers as a function of polishing pressure is plotted in Figure 3-1. In this experiment, original slurry (30wt% solids loading) was used without further dilution. The MRR showed a linear relationship with polishing pressure, as predicted by the empirical Preston equation [45]: MRR = K p P Δs Δt (3-1) where, K p is Preston coefficient, P is polishing pressure, and Δs is the relative travel between glass surface and lap over in which the wear occurs (platen speed) during time interval Δt [45]. 39

40 The MRR of silicon nitride was determined to be lower than silica. In CMP of Si-based materials such as silica and silicon nitride, it is well known that water plays a significant role, because no material removal occurs in non aqueous medium. It is commonly believed that water attacks and breaks the siloxane bonds by the following reaction: Si O Si + H 2 O = SiOH + SiOH (3-2) It has been reported that the hardness of silica decreases to around 50% of the original value in aqueous systems [46, 47]. The above reaction is believed to be controlled by the diffusion of water in silica, which in turn affects surface hardness. There have been several attempts to explain MRR theoretically [45, 48]. One of them is Cook s model, assuming Hertzian penetration [45]: MRR = 1 2E P Δs Δt (3-3) where, E is the Young s modulus of the material. Considering that the modulus is the resistance of the material to tensile or compressive deformation, above equation indicates that material with high modulus should be harder to polish. A more elaborate model incorporating chemical effects was proposed by Chi-Wen and co-workers [48]: MRR = C( 1 E a + 1 E w ) P Δs Δt (3-4) where, C is the coefficient accounting for chemical effect of a slurry and other properties of CMP consumables, E a and E w are the Young s modulus of abrasive particle and substrate, respectively. Trends in experimental results with substrates of different moduli were in aggrement with those predicted by Equation (3-4). To evaluate the correlation between MRR and mechanical properties of substrate materials, Young s modulus and hardness of both substrates were measured by the nano-indentation 40

41 method and are summarized in Table 3-1. The MRR and Young s modulus ratio indicated a correlation between MRR and mechanical properties of the material. However, according to this explanation, silicon nitride cannot be polished by silica abrasive particles, since silicon nitride has a higher hardness than silica, in contrast to experimental evidence. In reality, the formation of a thin silica layer (around 1 nm) on the silicon nitride surface by spontaneous oxidation represented by the equation below and is expected to influence the polishing characteristics of silicon nitride [23, 31, 49] Si + 3N4 + 6H2O = 3SiO2 4NH3 (3-5) It has been reported that the rate-limiting step for the above reaction is the breakage of Si-N bonds [50], with relatively faster breakage of Si-O bonds due to diffusion of water. In other words, the reaction of water with silicon nitride for breaking the Si-N bond is slower than water diffusion. As a result, the thickness of the newly formed silica layer on silicon nitride will be very thin compared to that of the silica substrate, thereby resulting in different MRR of the two substrates. Theoretically, Young s modulus reflects the bond strength of the material on an atomic scale [51]. In other words, a higher modulus means stronger bonds, which will be harder to break. Role of Electrostatic Interactions on MRR It has long been observed that MRR is dependent on the ph of the slurry in various polishing processes including CMP. As was discussed by Choi and co-workers, electrostatic interactions can influence the CMP performance. However, systematic approaches and quantitative analysis to explain the effect and modulation have not been attempted until now. 41

42 Effect of ph One of the best ways to modulate the electrostatic interaction is to change ph of the slurry. Colloidal silica slurry is the best candidate for this purpose, since it shows stable dispersion throughout a wide ph range, if only the ph was adjusted just before polishing. To investigate the effect of electrostatic interaction on CMP performance, the MRR for both substrates as a function of slurry ph was measured and plotted in Figure 3-2. Particle size distribution at ph 2 and 10.4 in Figure 3-3 confirmed that there was no measurable coagulation of silica particles at ph 2. MRR as a function of ph reached a maximum as slurry ph is reduced. At high ph beyond 11, MRR steeply increased for silica and remained constant for silicon nitride. The CMP results of silicon and silica as a function of ph were reported by several authors [52-54]. Choi et al. attributed the increase in MRR at lower ph to the electrostatic attraction between the oppositely charged silica substrate and silica abrasive particles, and a higher MRR at higher ph to increased softening of silica induced by its high solubility at higher ph. According to their report, the electrostatic force between silica particles and substrate showed a maximum around 0.4 mn/m (force/radius of particle) at ph The contact area of the CMP pad and the substrate was reported to be around 1% due to the asperity characteristics of the pad materials employed in their study at the same ph [55]. Assuming that half of the individual abrasive particle will be embedded in the substrate surface and the other half of the particle will be captured by pad asperities during the CMP process, the contact area will yield the number of particles in contact with the substrate. If 1% of a 1 1 inch wafer is in contact with the abrasive particles, then there will be approximately 10 9 particles of diameter of 90 nm in the system. The total electrostatic force is calculated to be 18 mn. According to experiments in the present study, if one assumes that there is no electrostatic force contribution at ph 3 (due to its nearly zero value of zeta 42

43 potential), a pressure caused by repulsive force of 6.85 N on 1 1 inch wafer, is required to make a difference in MRR. This is more than two orders of magnitude difference in electrostatic force contribution between the abrasive and the wafer. It is, however, possible that induced repulsion by electrostatic interactions may contribute to lubrication effects. According to Choi, there was approximately 25% decrease of frictional force between colloidal silica abrasives and the wafer when the slurry ph was increased from 2 to Mahajan also reported that the frictional force between pad and the wafer decreased at higher ph due to increased electrostatic repulsion between them [56]. It is well known that in the case of boundary lubrication, friction follows the equation for interfacial sliding, as proposed by Tabor et al.[57]. F friction = S c A (3-6) where, F friction is a frictional force, S c is a critical shear stress that depends on the details of the interfacial region, and A is the contact area. It is not clear which term is affected by the electrostatic interaction for the current system. However, it seems reasonable that if electrostatic repulsion between the abrasive and substrate is high, critical shear stress (S c ) will be reduced, resulting in overall reduction in the frictional force. On the other hand, surface layer characteristics can also change upon a shift in ph, resulting in changes in contact area (A) between the pad and the substrate. Yeruva reported that there was no consistent evidence that the Young s modulus of the pad, which is directly related to the contact area, changes with solution ph. Recently, Taran et al. have reported that a lubrication effect between silica particles and the substrate resulted in reduced lateral force at high ph above 9.6, using lateral force microscopy [58]. Below ph 9.6, there was no noticeable change. They correlated their observations with 43

44 solubility of silica and formation of surface gel layer, which is believed to form at high ph due to high solubility [58]. It seems likely that the lubrication phenomena may play a role in explaining low MRR at high ph, but it is not possible at present to explain high MRR below ph 8.6. Another possibility is that the electrostatic forces between particles can change the number of abrasive particles participating in the polishing process, depending upon their dispersion/coagulation characteristics. It has been generally known that MRR is almost linearly proportional to solids loading of the slurry [59, 60]. Zeta potential of the abrasive particle will produce electrostatic repulsive forces that will resist the particles to come within a certain distance of the substrate resulting in limited number of particles participating in polishing at a certain ph. The repulsive force can be calculated using simplified Poisson-Boltzman equation [61] F / R = 2πεε κψ e o 2 o κd (3-7) where, F/R is the electrostatic force per particle radius, κ is the Debye-Huckel parameter, ψ o is surface potential, and D is the distance between particles which is assumed to be 1 nm. The absolute force value can change as a function of distance, but the trend should be similar. Zeta potential was assumed to be the same as the surface potential, since there were no specific adsorbing ions in the slurry. Figure 3-4 shows the measured zeta potential of silica and the corresponding electrostatic force between abrasive particles calculated from the potential as a function of ph (also plotted in Figure 3-2). At ph around 3 (IEP of silica), the electrostatic force leveled off and approached zero and MRR for silica also reached a maximum value at ph 3. In the intermediate ph range (3 ~ 10), MRR and the electrostatic force were inversely proportional to each other. 44

45 At ph above 11, the MRR of silica showed a sudden increase, probably related to the solubility of silica. However, the MRR of silicon nitride, which has a lower solubility than silica, showed the same trend as electrostatic force. Overall, it appears that there exists an inverse correlation between the MRR and repulsive electrostatic forces between the abrasive particles. The zeta potential of the substrate and colloidal silica should be similar, since both materials are amorphous silica, therefore it may be safe to assume that the calculated electrostatic force also represents the trend in the force between abrasive particles and substrate. It is clear that the electrostatic forces induced by zeta potential of various materials has a significant effect on MRR in terms of (i) opposing force against polishing pressure or (ii) number of particles participating in the CMP process. Effect of Salt Addition It is well known that various salts reduce the surface charge of the particles in a colloidal system, decreasing the electrostatic repulsion and thereby promoting their coagulation by attractive van der Waals interactions [38, 39]. The minimum concentration of salt causing coagulation of particles is called the critical coagulation concentration (CCC). This phenomenon can be utilized to modulate the electrostatic force in the CMP process. Among various salts, monovalent ions are most suitable for this purpose in terms of controllability, since multivalent ions have far lower CCC than monovalent ions. Allen and co-workers have reported that CCC of NaCl for colloidal silica was around 0.4 M and that of CaCl 2 was around 1 mm, at ph 9. CMP was conducted as a function of NaCl concentration added to the slurry. The MRR for both substrates and calculated electrostatic force between abrasive particles from zeta potential values are plotted in Figure 3-5. The first thing to be monitored is the coagulation of particles whenever salt is added into slurry. Figure 3-6 shows the particle size distribution as a function of NaCl concentration. Below 45

46 0.5 M NaCl, the particle size maintained a narrow mono size distribution. When the concentration reached 0.5 M, gelation occurred and particle size distribution showed multiple peaks. It is not clear from Figure 3-6 if there is coagulation, since the additional peak(s) from coagulation are not noticeable due to the multiple peaks from gelation. It is very likely that there is some degree of coagulation at that concentration. Gelation usually occurs at intermediate ph and high salt concentration in a colloidal silica system, and it is different from coagulation. Gelation is reversible, i.e. the dispersion stability can be restored simply by stirring or dilution, but if the coagulation occurrs, it is not usually reversible. In gelation, silica particles form a network by siloxane (Si-O-Si) bonds. In coagulation, they do not form any network, but they simply collide with each other by Brownian motion leading to very strong attractive van der Waals interactions. It is not known how gelation of abrasive particles affects the CMP performance. A colloidal silica slurry adjusted to neutral ph and kept for some time to promote geltation without any salt can be a good candidate to isolate such effects. Below a salt concentration of 0.5 M, NaCl addition to the polishing slurry showed the same trend in MRR change as the ph change. There was a steep decrease in the MRR after the salt concentration exceeded the CCC (0.5 M NaCl) for silica, however. The silicon nitride substrate did not show such dramatic change. It has been reported that at fixed solids loading, the MRR decreases as a function of particle size after reaching a critical size of particles [62, 63]. This leads to the explanation of how the coagulation might affect MRR. At a fixed solids loading, coagulation leads to two possible effects, (i) reduction in the number of abrasive particles participating in the polishing process thereby decreasing the contact area between particles and substrate, (ii) increased penetration depth due to size enlargement resulting in higher MRR. As was discussed by Yeruva, optimal indentation depth is determined by the thickness of the 46

47 modified surface layer of silica caused by reaction with water, which is believed to be on the order of nm in thickness [55]. Besides, the optimum mean particle size resulting in maximum MRR was reported to be around 75 nm experimentally [63]. In the present study, the agglomerated particle size is larger than 100 nm, hence a decrease in MRR and poor surface finish are expected and experimental results confirmed these predictions. Choi reported that at intermediate salt concentrations, Stöber silica slurry showed a broader distribution with a larger particle size accompanying the MRR increase, and was attributed to reduced electrostatic forces and increased particle size due to coagulation [64]. At a higher salt concentration, they reported low MRR and high roughness values attributed to coagulation of the silica abrasive particles. In the present study with a colloidal silica slurry, the increase in MRR can solely be attributed to reduced electrostatic repulsion, since there was no particle size increase. Measured surface roughness values indicated that up to 0.3 M NaCl, there was not much difference in surface roughness (Figure 3-7). However at 0.5 M, a rough surface with low MRR on silica but not on silicon nitride was observed. On the silicon nitride substrate, the coagulation of abrasive particles does not seem to have as high an effect as on the silica probably due to the higher hardness of silicon nitride substrate as compared to the silica abrasive particles. Salt addition has been reported to increase frictional force between the pad and substrate as also observed by Mahajan [56]. This suggests that coagulation of abrasive particles is a major factor in determining frictional forces, which in turn impact MRR. In order to further establish a correlation between the MRR and electrostatic forces, the MRR for both materials is plotted in Figure 3-8 as a function of electrostatic repulsive force between colloidal silica abrasive particles at different levels of ph and salt concentrations. 47

48 Except under the extreme conditions such as ph 2, 11.5 and NaCl concentration of 10 mm, where calculated electrostatic force was not sensitive to experimental variables, an inverse linear relationship was observed between MRR and electrostatic forces. Parameters Affecting Surface Finish in STI CMP Figure 3-9 shows the surface roughness of silica and silicon nitride substrates as a function of slurry ph. Selected surface morphologies and roughness profiles of the silica and silicon nitride after CMP at ph 10.4 and 11.5 for both materials are plotted in Figure CMP by colloidal silica slurry improved the roughness of both materials below ph and silica showed higher roughness values than silicon nitride over the entire ph range examined in this study. At ph 11.5, CMP resulted in poor surface finish for both materials but the increase of roughness was higher for silica. Scratches from the CMP process were not observed on either substrate. This variation of roughness follows exactly the same trend as the silica solubility results by Iler [65]. It is known that the solubility of silica shows a steep increase in the basic ph condition. Iler reported about a three orders of magnitude increase in silica dissolution rate as the ph value changed from 2 to 11 [65]. The increase in solubility is believed to be due to the hydroxyl ion (OH - ) acting as a catalyst for attack by water on the siloxane (Si-O-Si) network. Specifically, hydroxyl ions create an excess of electrons resulting in a higher negative surface potential and consequently more attacks by H 3 O + [21]. Therefore, it has been widely believed that the high dissolution rate of silica at high ph is responsible for the high MRR [53, 54]. The effect of solubility on surface roughness has not been well understood. It should be noted that solubility of silica is known to depend on the curvature of the silica surface [66]. Hulett et al. reported that the convex surface of colloidal silica shows higher solubility than the concave one, and a smaller radius of curvature exhibits higher solubility [66]. This implies that surface convex impurities 48

49 will dissolve faster than flat substrates. However, this prediction is contrary to our experimental observation of the effect of solubility on MRR and surface roughness, and requires further investigation. To evaluate the effect of solubility of silica and silicon nitride on CMP performance, dissolution rate was determined by measuring the thickness of both substrates immersed in a 0.1M (ph 13) NaOH solution for 12 days without stirring (Figure 3-11). Surface roughness of the substrates before and after dissolution is presented in Figure The dissolution rate of silica was three orders of magnitude higher than that of silicon nitride most probably due to higher bond strength of the latter. Even though the experiment was conducted at ph 13, the magnitude of dissolution of both substrates was relatively low. However, in a real CMP process, dissolution can be increased by the imposed pressure resulting in higher tensile stress created by the abrasive particles as they abrade silica surface. Nogami and co-workers reported a 50% increase in solubility when 30 MPa compressive stress was applied compared with the stress-free condition [47]. Additionally, when abrasive particles abrade the surface, the temperature can be higher due to heat generated by friction. It has been reported by Iler that solubility of colloidal silica increased by more than ten times at 200 o C than at room temperature [65]. However, incorporation of all those factors still gives a far less dissolution rate than the MRR increase at ph 11.4 for silica. Regarding this apparent discrepancy, it should be noted that the attack of hydroxyl ions will be higher at higher ph resulting in a softer layer, which can be removed easily, and is prone to damage by abrasion. Consequently, the attack of hydroxyl ions increases the solubility and promotes formation of a softer layer on the substrate at high ph. The dissolution of silica itself does not seem to play a bigger role in determining MRR. The extent of hydroxyl ion attack will 49

50 also be dependent on the bond strength, and according to Young s moduli of the materials, this may explain the reason for low MRR and dissolution rate of silicon nitride. Figure 3-12 illustrates the surface morphologies of the two substrates after dissolution at ph 13 for 12 days. There was very small increase in surface roughness for both of the substrates. The inverse pyramidal-type etch pits observed in Figure 3-13 are common phenomena when highly concentrated alkaline solution is used for etching silicon in the micromachining of silicon substrates [67-69]. The reason for the anisotropic etching is different reactivities of certain crystal planes of silicon. In other words, anisotropic etchants etch much faster in one direction than in another, which is usually (111) planes of silicon. Therefore, anisotropic etching of (100) silicon by alkaline solution results in the inverse pyramidal-type etch pits, as was observed experimentally. Since the thin film used in this research was deposited on (100) silicon, the silica film will have a similar atomic arrangement as the underlying silicon. It has been well observed in silicon anisotropic etching that when a dilute alkaline solution (20 wt%) is used, the etching produces high surface roughness. Palik et al. reported that the high surface roughness is attributed to the formation of hydrogen bubbles acting as a pseudomask, thus inhibiting uniform etching [69]. In silica, the overall reaction of the dissolution can be described as follows: + 1 SiOH + H 2O + OH = Si( OH ) 3 + H 2 (3-9) 2 Gas bubbles were observed during dissolution experiments and are believed to be hydrogen gas. For the reaction shown in Equation (3-9) to occur, the nucleation of hydrogen bubbles is a dominant step and it is much easier to nucleate them on high energy sites giving rise to surface defects. 50

51 Salt Mediated Lubrication Donose and co-workers have reported that various cations adsorbing on silica from electrolyte solutions can induce lubrication through the formation of a hydrated cation layer [70]. Due to the difference in hydration enthalpy of different cations, resultant lubrication was different for each added salt. Similar phenomena have been reported by Raviv and Klein by a modified surface force apparatus [71]. They measured the shear force between mica surfaces and concluded that hydration layers of adsorbed cations act as a highly efficient boundary lubricant. Their research was mostly done by lateral force microscopy and the macroscopic effect on CMP was not investigated. Figure 3-14 shows the lateral force as a function of loading force in the presence of various salts such as LiCl, NaCl and CsCl reported by Donose and co-workers [70]. According to their results, every salt showed higher lubrication effect than pure water. The thickness of the adsorbed cation layer increases with increasing electrolyte concentration. Highly hydrated cations such as Li + can form a thick and soft layer resulting in higher lubrication than poorly hydrated cation such as Cs +. It was observed that the degree of lubrication followed their order of hydration, which is Li + > Na + > Cs +. Schematics shown in Figure 3-15 illustrate this concept. For pure water, at least one layer of water molecules are bound to the silica surface, but this layer is relatively thin and firmly adsorbed to the silica surface resulting in rigid interface. In the presence of an electrolyte solution, there is a thicker hydration layer than pure water. The model suggested by Raviv et al. states that the cations surrounded with water molecules are very hard to remove and remain fluid like in a lateral direction and promote lubrication. It is well known that smaller Li + ion has the highest hydration enthalpy and hydrated radius among various 51

52 cations[72]. Accordingly, Li + ions have a thicker and more effective lubricating layer on silica surface, while Cs + ions have a thinner and less effective lubrication layer. To investigate how the variations in lubrication affects the real CMP performance, CMP was conducted as a function of applied polishing pressure using three slurries with no salt, 1 M LiCl, and 1 M CsCl. The salt concentration was selected corresponding to the results reported by Donose and co-workers [70]. The particle size was measured to assess if the selected salt addition causes any coagulation of the abrasive particles (Figure 3-16). When appropriate amounts of 5 M LiCl and 5 M CsCl were added to change the salt concentration, there was no particle size increase initially up to about 10 minutes after mixing. As time passed, gelation took place slowly and the peak height of the particle size decreased and the size distribution became broader. While it is not well understood how the gelation affects the CMP performance, CMP was performed 5 minutes after the mixing of 5 M salt solution to avoid the possible effect of gelation and ensure uniform mixing of added salt. Surface roughness measurement showed that RMS surface roughness was around 0.15 nm for all the conditions at the same polishing pressure and there was no increase from salt addition. Therefore, it appears that the variation in MRR is due to the effect of salt on material properties and not necessarily from gelation and coagulation. Figure 3-17 shows the variation in the MRR with and without 1 M LiCl and CsCl as a function of polishing pressure. Increase in the MRR with added salt suggests that electrostatic interactions play a dominant role in polishing. The MRR of the silica substrate using a slurry with 1 M LiCl is lower than that of 1 M CsCl showing results in agreement with those from lateral force microscopy measurements. Considering the same electrolyte concentration in both experiments, the electrostatic forces should be similar. The lubrication effect of individual 52

53 particles should reduce the MRR, but increase in the number of abrasive particles due to reduced electrostatic repulsive forces between them seems to have resulted in overall higher MRR. In summary, CMP performance using colloidal silica slurry in a silica and silicon nitride system revealed that Young s modulus of the substrate material is more likely the reason for the differences in their MRR, with electrostatic repulsive force imposed by ph change in the slurry playing a dominant role. The electrostatic interaction was validated by monovalent salt addition to the slurry. A linear relationship between the MRR and electrostatic forces implied that such repulsive interactions probably resulted in governing the number of particles engaged in the polishing process. Dissolution rates were measured by immersing substrates into 0.1 M NaOH solution for 12 days and the results showed that dissolution of silica was much higher than silicon nitride, however, the rate of dissolution was too low to make any significant difference in the MRR. It seems that the attack of hydroxyl ions at higher ph is responsible for poor surface finish and higher MRR due to the formation of a softer top layer. Dissolution in alkaline solutions produced a poor surface finish due to nucleation of hydrogen gas bubbles. The effect of the nature of added ions on CMP performance was also investigated. The Lubrication effect of hydrated cations was determined not to be a dominant factor in MRR. However, a slurry with LiCl showed lower MRR than one with CsCl, which suggests that the lubrication of the hydrated cations is playing a limited role in determining the MRR. 53

54 Table 3-1. Young s modulus, hardness measured by nanoindentation method, material removal rate (MRR), ratio of MRR (CMP pressure of 7 psi), and ratio of Young s modulus for silica and silicon nitride. E (GPa) H (GPa) MRR (Å/min) MRR SiO2 /MRR Si3N4 E Si3N4 /E SiO2 SiO ± ± Si 3 N ± ±

55 9000 Material Removal Rate (Å/min) ph 10.4 SiO 2 Si 3 N Pressure (psi) Figure 3-1. Variations of mateiral removal rate (MRR) for silica and silicon nitride substrate as a function of applied pressure by using undiluted (30 wt%) colloidal silica slurry at ph

56 Material Removal Rate (Å/min) MRR SiO MRR Si 3 N Force ph Electrostatic Force/R (mn/m) Figure 3-2. Variations of MRR of silica and silicon nitride substrate and calculated electrostatic force between two abrasives as a function of ph of the diluted (12 wt%) colloidal silica-based slurry (Klebosol ). 56

57 Differential Volume (%) ph 10.4 ph Particle Size (μm) Figure 3-3. Particle size distributions of colloidal silica slurry at two different ph conditions. 57

58 Zeta potential (mv) Electrostatic Force/R (mn/m) ph Figure 3-4. Zeta potential of colloidal silica slurry and electrostatic force between silica abrasive particles. Force was calculated from the zeta potential values by constant surface charge model. The distance between abrasives was assumed to be 1 nm. 58

59 Material Removal Rate (Å/min) ph 10.4 Force MRR SiO 2 MRR Si 3 N NaCl Concentration (mm) Electrostatic Force/R (mn/m) Figure 3-5. Variations of MRR and calculated electrostatic force between two abrasives as a function of slurry NaCl salt concentrations in the slurry at ph

60 16 Differential Volume (%) No Salt 0.1 M NaCl 0.3 M NaCl 0.5 M NaCl Particle Size (μm) Figure 3-6. Particle size distributions of colloidal silica slurry (Klebosol , 12 wt%) as a function of salt concentrations at ph

61 RMS Roughness (nm) SiO 2 Si 3 N NaCl Concentration (M) Figure 3-7. Surface roughness of silica and silicon nitride substrate after CMP as a function of added salt (NaCl) concentration at ph

62 Material Removal Rate (Å/min) (a) SiO 2 Si 3 N Electrostatic force/r (mn/m) Material Removal Rate (Å/min) (b) SiO 2 Si 3 N 4 ph Electrostatic Force/R (mn/m) Figure 3-8. Material removal rate of silica and silicon nitride as a function of repulsive electrostatic force between silica abrasives: (a) ph effect and (b) Salt (NaCl) addition at ph

63 RMS Roughness (nm) SiO 2 Si 3 N ph Figure 3-9. Surface roughness of silica and silicon nitride substrates after CMP as a function of slurry ph. 63

64 (a) SiO 2, ph 10.4, RMS Roughness: 0.24 nm (b) SiO 2, ph 11.5, RMS Roughness: 0.48 nm (c) Si 3 N 4, ph 10.4, RMS Roughness: 0.14 nm (d) Si 3 N 4, ph 11.5, RMS Roughness: 0.22 nm Figure Surface morphologies and profiles of substrates from two ph conditions; (a) silica at ph 10.4, (b) silica at ph 11.5, (c) silicon nitride at ph 10.4, and (d) silicon nitride at ph

65 Removed Thickness (Å) M NaOH (ph 13) SiO 2 Dissolution rate: SiO 2 Si 3 N 4 Si 3 N 4 Dissolution rate: x 10-3 Å/min Days Å/min Figure Material thickness change of silica and silicon nitride substrates as a function of immersed time in ph 13 NaOH solution. Ellipsometer was used to measure thickness change. Calculated dissolution rates were also shown. 65

66 (a) SiO 2, RMS Roughness: nm (b) SiO 2 at ph 13 for 12 days, RMS Roughness: nm (c) Si 3 N 4, RMS Roughness: nm Si 3 N 4, RMS: nm, R max : nm (d) Si 3 N 4 at ph 13 for 12 days, RMS Roughness: nm Figure Surface morphologies and profiles of substrates before and after etching in ph 13 NaOH solutions; (a) bare silica (b) silica after 12 days, (c) bare silicon nitride, and (d) silicon nitride after 12 days. 66

67 (a) (b) Figure Etch pits formed on (a) silica and (b) silicon nitride substrate immersed in 0.1 M (ph 13) NaOH solution for 12 days. 67

68 Figure Lateral force of a 6.8 μm silica particle interacting with a silica substrate in pure water and CsCl, NaCl, and LiCl solutions of 1 M: dependence of friction on the applied load at a fixed scan rate of 2 μm/s [70]. 68

69 Figure Schematic representation of the hypothetical frictional mechanisms [70]. 69

Effect of Soft Agglomerates on CMP Slurry Performance

Effect of Soft Agglomerates on CMP Slurry Performance Journal of Colloid and Interface Science 256, 137 142 (2002) doi:10.1006/jcis.2002.8352 Effect of Soft Agglomerates on CMP Slurry Performance G. Bahar Basim and Brij M. Moudgil 1 Engineering Research Center

More information

Lecture 16 Chemical Mechanical Planarization

Lecture 16 Chemical Mechanical Planarization Lecture 16 Chemical Mechanical Planarization 1/75 Announcements Term Paper: The term paper should be handed in today: Tuesday 21 st November. The term paper will be returned to you in class on Tuesday

More information

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea. SPCC 2018 Hanyang University NEMPL Jin-Goo Park 1,2 *, Jung-Hwan Lee a, In-chan Choi 1, Hyun-Tae Kim 1, Lieve Teugels 3, and Tae-Gon Kim 3 1 Department of Bio-Nano Technology and 2 Materials Science and

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supplementary Information Visualization of equilibrium position of colloidal particles at fluid-water

More information

Doctor of Philosophy

Doctor of Philosophy STUDIES ON THE CORROSION INHIBITION BEHAVIOUR OF SOME AMINO ACID SURFACTANT ADDITIVES ABSTRACT SUBMITTED FOR THE AWARD OF THE DEGREE OF Doctor of Philosophy IN APPLIED CHEMISTRY By MOSARRAT PARVEEN UNDER

More information

SYNTHESIS OF INORGANIC MATERIALS AND NANOMATERIALS. Pr. Charles Kappenstein LACCO, Laboratoire de Catalyse en Chimie Organique, Poitiers, France

SYNTHESIS OF INORGANIC MATERIALS AND NANOMATERIALS. Pr. Charles Kappenstein LACCO, Laboratoire de Catalyse en Chimie Organique, Poitiers, France SYNTHESIS OF INORGANIC MATERIALS AND NANOMATERIALS Pr. Charles Kappenstein LACCO, Laboratoire de Catalyse en Chimie Organique, Poitiers, France Outline IV - FORMATION OF SOLIDS FROM SOLUTIONS 1) Glass

More information

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure GENERAL ENGINEERING AND RESEARCH National Science Foundation SBIR Phase II Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure Robin V. Ihnfeldt, Ph.D. July 11, 2016 Outline Introduction

More information

SHEAR THICKENING IN COLLOIDAL SILICA CHEMICAL MECHANICAL POLISHING SLURRIES

SHEAR THICKENING IN COLLOIDAL SILICA CHEMICAL MECHANICAL POLISHING SLURRIES SHEAR THICKENING IN COLLOIDAL SILICA CHEMICAL MECHANICAL POLISHING SLURRIES by Anastasia Krasovsky A thesis submitted to the Faculty and the Board of Trustees of the Colorado School of Mines in partial

More information

Solid-liquid interface

Solid-liquid interface Lecture Note #9 (Spring, 2017) Solid-liquid interface Reading: Shaw, ch. 6 Contact angles and wetting Wetting: the displacement from a surface of one fluid by another. A gas is displaced by a liquid at

More information

Monolayers. Factors affecting the adsorption from solution. Adsorption of amphiphilic molecules on solid support

Monolayers. Factors affecting the adsorption from solution. Adsorption of amphiphilic molecules on solid support Monolayers Adsorption as process Adsorption of gases on solids Adsorption of solutions on solids Factors affecting the adsorption from solution Adsorption of amphiphilic molecules on solid support Adsorption

More information

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Joke De Messemaeker, Stijn Put, Daniël Nelis, Dirk Van Genechten, Paul Lippens, Yves Van Rompaey and Yvan Strauven Umicore

More information

EFFECT OF SOLIDS CONCENTRATION ON POLYMER ADSORPTION AND CONFORMATION

EFFECT OF SOLIDS CONCENTRATION ON POLYMER ADSORPTION AND CONFORMATION 2 EFFECT OF SOLIDS CONCENTRATION ON POLYMER ADSORPTION AND CONFORMATION Tsung-yuan Chen,. Chidambaram Maltesh,2 and Ponisseril Somasundaranl IHerny Krumb School of Mines Columbia University New York, New

More information

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Cass Shang, Robert Small and Raymond Jin* DuPont Electronic Technologies, 2520 Barrington Ct., Hayward,

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization Mechanics of Contact and Lubrication, MTM G230 Department of Mechanical & Industrial Enineering Northeastern University Spring 2006 Chemical Mechanical Planarization George Calota Northeastern University

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

Physics and Chemistry of Interfaces

Physics and Chemistry of Interfaces Hans Jürgen Butt, Karlheinz Graf, and Michael Kappl Physics and Chemistry of Interfaces Second, Revised and Enlarged Edition WILEY- VCH WILEY-VCH Verlag GmbH & Co. KGaA Contents Preface XI 1 Introduction

More information

FLOTATION OF QUARTZ AND HEMATITE: ADSORPTION MECHANISM OF MIXED CATIONIC/ANIONIC COLLECTOR SYSTEMS

FLOTATION OF QUARTZ AND HEMATITE: ADSORPTION MECHANISM OF MIXED CATIONIC/ANIONIC COLLECTOR SYSTEMS Paper No. 548 FLOTATION OF QUARTZ AND HEMATITE: ADSORPTION MECHANISM OF MIXED CATIONIC/ANIONIC COLLECTOR SYSTEMS A Vidyadhar 1, *, Neha Kumari 2 and R P Bhagat 3 ABSTRACT Using pure quartz and hematite

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Sol-Gel Methods. Hydrolysis Condensation Gelation Ageing Drying Densification

Sol-Gel Methods. Hydrolysis Condensation Gelation Ageing Drying Densification Sol-Gel Methods Sol-gel process: Hydrolysis Condensation Gelation Ageing Drying Densification Powders: microcrystalline, nanocrystalline, amorphous Monoliths, Coatings, Films, Fibers Aerogels Glasses,

More information

Effects of PVA Brush Shape and Wafer Pattern on the Frictional Attributes of Post-CMP PVA Brush Scrubbing

Effects of PVA Brush Shape and Wafer Pattern on the Frictional Attributes of Post-CMP PVA Brush Scrubbing Effects of PVA Brush Shape and Wafer Pattern on the Frictional Attributes of Post-CMP PVA Brush Scrubbing J. Weaver and A. Philipossian Department of Chemical Engineering University of Arizona, Tucson

More information

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN Mat. Res. Soc. Symp. Proc. Vol. 671 001 Materials Research Society A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN CHEMICAL-MECHANICAL POLISHING Joost J. Vlassak Division of Engineering and

More information

Covalent Bonding H 2. Using Lewis-dot models, show how H2O molecules are covalently bonded in the box below.

Covalent Bonding H 2. Using Lewis-dot models, show how H2O molecules are covalently bonded in the box below. Covalent Bonding COVALENT BONDS occur when atoms electrons. When atoms combine through the sharing of electrons, are formed. What is a common example of a covalently bonded molecule? When hydrogen atoms

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Solid Type of solid Type of particle Al(s) aluminium MgCl2 Magnesium chloride S8(s) sulfur

Solid Type of solid Type of particle Al(s) aluminium MgCl2 Magnesium chloride S8(s) sulfur QUESTION (2017:1) (iii) Sodium chloride, NaCl, is another compound that is excreted from the body in sweat. Use your knowledge of structure and bonding to explain the dissolving process of sodium chloride,

More information

Model Solutions Spring 2003

Model Solutions Spring 2003 Exam 2 BE.462J/3.962J Model Solutions Spring 2003 (80 points total possible) 1. (10 points) Explain the phenomenon of phsensitive swelling in polyelectrolyte hydrogels. Why does the swelling depend on

More information

Film Deposition Part 1

Film Deposition Part 1 1 Film Deposition Part 1 Chapter 11 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2013 Saroj Kumar Patra Semidonductor Manufacturing Technology, Norwegian University of

More information

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Carbon Nanotube Thin-Films & Nanoparticle Assembly Nanodevices using Nanomaterials : Carbon Nanotube Thin-Films & Nanoparticle Assembly Seung-Beck Lee Division of Electronics and Computer Engineering & Department of Nanotechnology, Hanyang University,

More information

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen Lecture 150 Basic IC Processes (10/10/01) Page 1501 LECTURE 150 BASIC IC PROCESSES (READING: TextSec. 2.2) INTRODUCTION Objective The objective of this presentation is: 1.) Introduce the fabrication of

More information

Dielectric materials for Organic Thin-Film Transistors

Dielectric materials for Organic Thin-Film Transistors Dielectric materials for Organic Thin-Film Transistors Arinola Awomolo University of Illinois Chicago Advisors: : Prof. Christos Takoudis, Prof. Greg Jursich Graduate Research Assistant: Lin Jiang Motorola

More information

Contents. Preface XIII

Contents. Preface XIII V Contents Preface XIII 1 General Introduction 1 1.1 Fundamental Knowledge Required for Successful Dispersion of Powders into Liquids 1 1.1.1 Wetting of Powder into Liquid 1 1.1.2 Breaking of Aggregates

More information

Supplementary information

Supplementary information Supplementary information Highly Conductive Graphene/Ag Hybrid Fibers for Flexible Fiber-Type Transistors Sang Su Yoon, 1 Kang Eun Lee, 1 Hwa-Jin Cha, 1 Dong Gi Seong, 1 Moon-Kwang Um, 1 Joon Hyung Byun,

More information

Advanced Chemistry Liquid & Solids Test

Advanced Chemistry Liquid & Solids Test Advanced Chemistry Liquid & Solids Test Name: Multiple Choice 1) Which one of the following statements about liquids and solids is generally false? a) The rate of diffusion is lower in solids b) The density

More information

Report on Preparation of Nanotemplates for mab Crystallization

Report on Preparation of Nanotemplates for mab Crystallization Deliverable number D2.1 Due date 30/09/2017 Deliverable title Report on Preparation of Nanotemplates for mab Crystallization Issue date 21/09/2017 WP number WP2 Author(s) J. Heng, W. Chen, H. Yang Lead

More information

Analytical solution for polish-rate decay in chemical mechanical polishing

Analytical solution for polish-rate decay in chemical mechanical polishing J Eng Math DOI 10.1007/s10665-010-9369-9 LETTER TO THE EDITOR Analytical solution for polish-rate decay in chemical mechanical polishing Hong Shi Terry A. Ring Received: 17 August 2009 / Accepted: 15 March

More information

Abrasion Resistant Anti-Reflective Sol-Gel Coatings. Madeline Rutan Penfield High School. Advisor: Kenneth L. Marshall

Abrasion Resistant Anti-Reflective Sol-Gel Coatings. Madeline Rutan Penfield High School. Advisor: Kenneth L. Marshall Abrasion Resistant Anti-Reflective Sol-Gel Coatings Madeline Rutan Penfield High School Advisor: Kenneth L. Marshall University of Rochester Laboratory for Laser Energetics Summer High School Research

More information

Specific ion effects on the interaction of. hydrophobic and hydrophilic self assembled

Specific ion effects on the interaction of. hydrophobic and hydrophilic self assembled Supporting Information Specific ion effects on the interaction of hydrophobic and hydrophilic self assembled monolayers T. Rios-Carvajal*, N. R. Pedersen, N. Bovet, S.L.S. Stipp, T. Hassenkam. Nano-Science

More information

SOLUTIONS TO CHAPTER 5: COLLOIDS AND FINE PARTICLES

SOLUTIONS TO CHAPTER 5: COLLOIDS AND FINE PARTICLES SOLUTIONS TO CHAPTER 5: COLLOIDS AND FINE PARTICLES EXERCISE 5.1: Colloidal particles may be either dispersed or aggregated. (a) What causes the difference between these two cases? Answer in terms of interparticle

More information

An Overview of the Concept, Measurement, Use and Application of Zeta Potential. David Fairhurst, Ph.D. Colloid Consultants, Ltd

An Overview of the Concept, Measurement, Use and Application of Zeta Potential. David Fairhurst, Ph.D. Colloid Consultants, Ltd An Overview of the Concept, Measurement, Use and Application of Zeta Potential David Fairhurst, Ph.D. Colloid Consultants, Ltd Fundamental Parameters that control the Nature and Behavior of all Particulate

More information

Colloidal dispersion

Colloidal dispersion Dispersed Systems Dispersed systems consist of particulate matter, known as the dispersed phase, distributed throughout a continuous or dispersion medium. The dispersed material may range in size from

More information

Hydrophilization of Fluoropolymers and Silicones

Hydrophilization of Fluoropolymers and Silicones 2017 Adhesive and Sealant Council Spring Meeting Hydrophilization of Fluoropolymers and Silicones Aknowledgements: Wei Chen Mount Holyoke College NSF, NIH, Dreyfus, ACS-RF, MHC Bryony Coupe, Mamle Quarmyne,

More information

TCAD Modeling of Stress Impact on Performance and Reliability

TCAD Modeling of Stress Impact on Performance and Reliability TCAD Modeling of Stress Impact on Performance and Reliability Xiaopeng Xu TCAD R&D, Synopsys March 16, 2010 SEMATECH Workshop on Stress Management for 3D ICs using Through Silicon Vias 1 Outline Introduction

More information

A novel cleaner for colloidal silica abrasive removal in post-cu CMP cleaning

A novel cleaner for colloidal silica abrasive removal in post-cu CMP cleaning Vol. 36, No. 10 Journal of Semiconductors October 2015 A novel cleaner for colloidal silica abrasive removal in post-cu CMP cleaning Deng Haiwen( 邓海文 ), Tan Baimei( 檀柏梅 ), Gao Baohong( 高宝红 ), Wang Chenwei(

More information

Dynasylan SIVO 110. Description. Product Information. SIVO SOL Technology for coating systems

Dynasylan SIVO 110. Description. Product Information. SIVO SOL Technology for coating systems Dynasylan SIVO 110 +49-69-218-5656 SIVO SOL Technology for coating systems Description Dynasylan SIVO 110 resembles a multifunctional, basically VOC-free, water-borne sol-gel system. It is composed of

More information

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes Fabrication of the scanning thermal microscopy (SThM) probes is summarized in Supplementary Fig. 1 and proceeds

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Plasma Deposition (Overview) Lecture 1

Plasma Deposition (Overview) Lecture 1 Plasma Deposition (Overview) Lecture 1 Material Processes Plasma Processing Plasma-assisted Deposition Implantation Surface Modification Development of Plasma-based processing Microelectronics needs (fabrication

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

The Utility of Zeta Potential Measurements in the Characterization of CMP Slurries David Fairhurst, Ph.D. Colloid Consultants, Ltd

The Utility of Zeta Potential Measurements in the Characterization of CMP Slurries David Fairhurst, Ph.D. Colloid Consultants, Ltd The Utility of Zeta Potential Measurements in the Characterization of CMP Slurries David Fairhurst, Ph.D. Colloid Consultants, Ltd HORIBA Scientific Webinar June 12 th 2013 Dispersed Systems An interface

More information

Critical Micellization Concentration Determination using Surface Tension Phenomenon

Critical Micellization Concentration Determination using Surface Tension Phenomenon Critical Micellization Concentration Determination using Phenomenon 1. Introduction Surface-active agents (surfactants) were already known in ancient times, when their properties were used in everyday

More information

Module 4: "Surface Thermodynamics" Lecture 21: "" The Lecture Contains: Effect of surfactant on interfacial tension. Objectives_template

Module 4: Surface Thermodynamics Lecture 21:  The Lecture Contains: Effect of surfactant on interfacial tension. Objectives_template The Lecture Contains: Effect of surfactant on interfacial tension file:///e /courses/colloid_interface_science/lecture21/21_1.htm[6/16/2012 1:10:36 PM] Surface Thermodynamics: Roles of Surfactants and

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Supporting Information Graphene transfer method 1 : Monolayer graphene was pre-deposited on both

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 4: Film

More information

LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS

LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS A. Z. Cheng 1, R. Swaminathan 2 1 Nanotechnology Engineering, University of Waterloo, azcheng@uwaterloo.ca; 2 Nanotechnology Engineering,

More information

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS George Adams, Ahmed A. Busnaina and Sinan Muftu the oratory Mechanical, Industrial, and Manufacturing Eng. Department Northeastern University, Boston,

More information

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics Rajiv K. Singh, F.C. Chang and S. Tanawade, Gary Scheiffele Materials Science and Engineering Particle Science Engineering

More information

Modeling and control of material removal and defectivity in chemical mechanical planarization

Modeling and control of material removal and defectivity in chemical mechanical planarization Graduate Theses and Dissertations Graduate College 2009 Modeling and control of material removal and defectivity in chemical mechanical planarization Pavan Kumar Karra Iowa State University Follow this

More information

PROCESSING OF CHROME ALLOYED STEELS BY COMPLEX EROSION IN A SOLUTION OF SODIUM SILLICATE, KAOLINE AND SODIUM NITRATES

PROCESSING OF CHROME ALLOYED STEELS BY COMPLEX EROSION IN A SOLUTION OF SODIUM SILLICATE, KAOLINE AND SODIUM NITRATES Nonconventional Technologies Review Romania, March, 2015 2015 Romanian Association of Nonconventional Technologies PROCESSING OF CHROME ALLOYED STEELS BY COMPLEX EROSION IN A SOLUTION OF SODIUM SILLICATE,

More information

nmos IC Design Report Module: EEE 112

nmos IC Design Report Module: EEE 112 nmos IC Design Report Author: 1302509 Zhao Ruimin Module: EEE 112 Lecturer: Date: Dr.Zhao Ce Zhou June/5/2015 Abstract This lab intended to train the experimental skills of the layout designing of the

More information

BIOLOGY 101. CHAPTER 3: Water and Life: The Molecule that supports all Live

BIOLOGY 101. CHAPTER 3: Water and Life: The Molecule that supports all Live BIOLOGY 101 CHAPTER 3: Water and Life: The Molecule that supports all Live The Molecule that Supports all Life CONCEPTS: 3.1 Polar covalent bonds in water molecules result in hydrogen bonding 3.2 Four

More information

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing Kyungyoon Noh, Nannaji Saka and Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology

More information

CHAPTER 6: Etching. Chapter 6 1

CHAPTER 6: Etching. Chapter 6 1 Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

THE NATURE OF FRICTION. Rob Wendland, driving Mike Troxel's Federal-Mogul Dragster In-N-Out Burger

THE NATURE OF FRICTION. Rob Wendland, driving Mike Troxel's Federal-Mogul Dragster In-N-Out Burger THE NATURE OF FRICTION Rob Wendland, driving Mike Troxel's Federal-Mogul Dragster In-N-Out Burger Laws of Friction Since friction is such a natural part of day-to-day life, most people do not give it any

More information

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development

More information

Particles in aqueous environments

Particles in aqueous environments Lecture 11 Particle-Aqueous Solute Interactions Today 1. Particle types and sizes 2. Particle charges 3. Particle-solute Interactions Next time Please continue to read Manahan Chapter 4. 1. Fresh-salt

More information

CHEMISTRY. SCIENCE Paper 2

CHEMISTRY. SCIENCE Paper 2 CHEMISTRY SCIENCE Paper 2 (Two hours) Answers to this Paper must be written on the paper provided separately. You will not be allowed to write during the first 15 minutes. This time is to be spent in reading

More information

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Table of Content Mechanical Removing Techniques Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB) Ultrasonic Machining In ultrasonic machining (USM), also called ultrasonic grinding,

More information

Methods for charge and size characterization colloidal systems

Methods for charge and size characterization colloidal systems Methods for charge and size characterization colloidal systems Content General Basics Stabino Measurement basics Applications NANO-flex Measurement basics Applications Nanoparticles Bulkphase of gold gold

More information

Role of Polymer Conformation in Interparticle-Bridging Dominated Flocculation

Role of Polymer Conformation in Interparticle-Bridging Dominated Flocculation JOURNAL 1' cou.om ARTICLB NO. 33 AND INTERP ACB SCIENCE 177, 283-287 (1996) Role of Polymer Conformation in Interparticle-Bridging Dominated Flocculation XIANG Yu AND P. SOMASUNDARANI Langmuir Center for

More information

Applied Surfactants: Principles and Applications

Applied Surfactants: Principles and Applications Applied Surfactants: Principles and Applications Tadros, Tharwat F. ISBN-13: 9783527306299 Table of Contents Preface. 1 Introduction. 1.1 General Classification of Surface Active Agents. 1.2 Anionic Surfactants.

More information

Synthesis; sol-gel. Helmer Fjellvåg and Anja Olafsen Sjåstad. Lectures at CUTN spring 2016

Synthesis; sol-gel. Helmer Fjellvåg and Anja Olafsen Sjåstad. Lectures at CUTN spring 2016 Synthesis; sol-gel Helmer Fjellvåg and Anja Olafsen Sjåstad Lectures at CUTN spring 2016 Inorganic Materials Synthesis In January: Solid State Reactions Our text book has extended the definition to any

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Tani et al.: Multilayer Wiring Technology with Grinding Planarization (1/6) [Technical Paper] Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts Motoaki Tani, Kanae

More information

Facile Synthesis and Optical Properties of Colloidal Silica Microspheres Encapsulating Quantum Dots-Layer

Facile Synthesis and Optical Properties of Colloidal Silica Microspheres Encapsulating Quantum Dots-Layer Electronic Supplementary Information for: Facile Synthesis and Optical Properties of Colloidal Silica Microspheres Encapsulating Quantum Dots-Layer Myungje Cho, Kipil Lim, Kyoungja Woo* Nano-Materials

More information

IGCSE Double Award Extended Coordinated Science

IGCSE Double Award Extended Coordinated Science IGCSE Double Award Extended Coordinated Science Chemistry 3.5 & 3.6 - Covalent Bonds Covalent Bond You need to know what covalent bonding is. Like ionic bonds, covalent bonds are another type of chemical

More information

CHEM Principles of Chemistry II Chapter 10 - Liquids and Solids

CHEM Principles of Chemistry II Chapter 10 - Liquids and Solids CHEM 1212 - Principles of Chemistry II Chapter 10 - Liquids and Solids 10.1 Intermolecular Forces recall intramolecular (within the molecule) bonding whereby atoms can form stable units called molecules

More information

Contents. Preface XI Symbols and Abbreviations XIII. 1 Introduction 1

Contents. Preface XI Symbols and Abbreviations XIII. 1 Introduction 1 V Contents Preface XI Symbols and Abbreviations XIII 1 Introduction 1 2 Van der Waals Forces 5 2.1 Van der Waals Forces Between Molecules 5 2.1.1 Coulomb Interaction 5 2.1.2 Monopole Dipole Interaction

More information

MATERIALS. Why do things break? Why are some materials stronger than others? Why is steel tough? Why is glass brittle?

MATERIALS. Why do things break? Why are some materials stronger than others? Why is steel tough? Why is glass brittle? MATERIALS Why do things break? Why are some materials stronger than others? Why is steel tough? Why is glass brittle? What is toughness? strength? brittleness? Elemental material atoms: A. Composition

More information

Chem II - Wed, 9/14/16

Chem II - Wed, 9/14/16 Chem II - Wed, 9/14/16 Do Now Drop off any study guides you want color coded Pull out stoich HW Homework See board Agenda Stoich Ch 4 Labish thing Chapter 4 Chemical Reactions & Solution Stoich Water Possesses

More information

Protein separation and characterization

Protein separation and characterization Address:800 S Wineville Avenue, Ontario, CA 91761,USA Website:www.aladdin-e.com Email USA: tech@aladdin-e.com Email EU: eutech@aladdin-e.com Email Asia Pacific: cntech@aladdin-e.com Protein separation

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction q Integrated circuits: many transistors on one chip q Very Large Scale Integration (VLSI): bucketloads! q Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

for sodium ion (Na + )

for sodium ion (Na + ) 3.4 Unit 2 Chemistry 2 Throughout this unit candidates will be expected to write word equations for reactions specified. Higher tier candidates will also be expected to write and balance symbol equations

More information

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS Manish Keswani 1, Srini Raghavan 1, Pierre Deymier 1 and Steven Verhaverbeke 2 1 The University

More information

The CMP Slurry Monitor - Background

The CMP Slurry Monitor - Background The CMP Slurry Monitor - Background Abstract The CMP slurry monitor uses electroacoustic and ultrasonic attenuation measurements to determine the size and zeta potential of slurry particles. The article

More information

Superparamagnetic nanoparticle arrays for magnetically tunable photonics. Josh Kurzman Materials 265

Superparamagnetic nanoparticle arrays for magnetically tunable photonics. Josh Kurzman Materials 265 Superparamagnetic nanoparticle arrays for magnetically tunable photonics Josh Kurzman Materials 265 Superparamagnetism In SPM regime, thermal energy sufficient to overcome spin reversal barrier T B Below

More information

Effect of Surfactant and Polymer Adsorption on the Viscosity of Aqueous Colloidal Silica Dispersions under Extreme Conditionst

Effect of Surfactant and Polymer Adsorption on the Viscosity of Aqueous Colloidal Silica Dispersions under Extreme Conditionst Effect of Surfactant and Polymer Adsorption on the Viscosity of Aqueous Colloidal Silica Dispersions under Extreme Conditionst A.A. Zaman\ P. K. Singh 2 and B.M. Moudgil 2 1 Department of Chemical Engineering

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

A Hydrophilic/Hydrophobic Janus Inverse-Opal

A Hydrophilic/Hydrophobic Janus Inverse-Opal Supporting information A Hydrophilic/Hydrophobic Janus Inverse-Opal Actuator via Gradient Infiltration Dajie Zhang #, Jie Liu //#, Bo Chen *, Yong Zhao, Jingxia Wang * //, Tomiki Ikeda, Lei Jiang //. CAS

More information

István Bányai, University of Debrecen Dept of Colloid and Environmental Chemistry

István Bányai, University of Debrecen Dept of Colloid and Environmental Chemistry Colloid stability István Bányai, University of Debrecen Dept of Colloid and Environmental Chemistry www.kolloid.unideb.hu (Stability of lyophilic colloids see: macromolecular solutions) Stabilities 1.

More information

Preparation of Colloidal Sols and Gels

Preparation of Colloidal Sols and Gels Preparation of Colloidal Sols and Gels Objective This laboratory examines the preparation of silica suspensions and gels by the sol-gel processing of silicate solution under hydrolytic conditions using

More information

Aqueous Colloidal Processing and green sheet properties of. Lead Zirconate Titanate (PZT) ceramics made by Tape. Casting.

Aqueous Colloidal Processing and green sheet properties of. Lead Zirconate Titanate (PZT) ceramics made by Tape. Casting. Aqueous Colloidal Processing and green sheet properties of Lead Zirconate Titanate (PZT) ceramics made by Tape Casting. A. Navarro, J.R.Alcock and R.W.Whatmore Nanotechnology Dept, SIMS, Cranfield University,

More information

SEPARATION BY BARRIER

SEPARATION BY BARRIER SEPARATION BY BARRIER SEPARATION BY BARRIER Phase 1 Feed Barrier Phase 2 Separation by barrier uses a barrier which restricts and/or enhances the movement of certain chemical species with respect to other

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Theory of Flocculation Reprint with Authorization by David L. Forbes

Theory of Flocculation Reprint with Authorization by David L. Forbes TECHNICAL PUBLICATION INFORMATION & STRATEGY FOR THE FACILITY MANAGER Theory of Flocculation Reprint with Authorization by David L. Forbes Introduction The efficiency of most solid/liquid separation processes

More information

Frictional characteristics of exfoliated and epitaxial graphene

Frictional characteristics of exfoliated and epitaxial graphene Frictional characteristics of exfoliated and epitaxial graphene Young Jun Shin a,b, Ryan Stromberg c, Rick Nay c, Han Huang d, Andrew T. S. Wee d, Hyunsoo Yang a,b,*, Charanjit S. Bhatia a a Department

More information

DESIGN OF POLYMERIC DISPERSANTS FOR LOW AND NO VOC APPLICATIONS

DESIGN OF POLYMERIC DISPERSANTS FOR LOW AND NO VOC APPLICATIONS DESIGN OF POLYMERIC DISPERSANTS FOR LOW AND NO VOC APPLICATIONS Jeff Norris, Tom Annable, Matt Dunn, Antonio Lopez Lubrizol Advanced Materials, Inc. USA PIGMENT DISPERSION AND STABILIZATION Polymeric dispersants

More information

Colloidal Suspension Rheology Chapter 1 Study Questions

Colloidal Suspension Rheology Chapter 1 Study Questions Colloidal Suspension Rheology Chapter 1 Study Questions 1. What forces act on a single colloidal particle suspended in a flowing fluid? Discuss the dependence of these forces on particle radius. 2. What

More information