Broadband Optical Antireflection Enhancement by Integrating Antireflective Nanoislands with Silicon Nanoconical-Frustum Arrays

Size: px
Start display at page:

Download "Broadband Optical Antireflection Enhancement by Integrating Antireflective Nanoislands with Silicon Nanoconical-Frustum Arrays"

Transcription

1 Broadband Optical Antireflection Enhancement by Integrating Antireflective Nanoislands with Silicon Nanoconical-Frustum Arrays Haesung Park, Dongheok Shin, Gumin Kang, Seunghwa Baek, Kyoungsik Kim, * and Willie J. Padilla By employing antireflective surfaces (ARSs) many researchers have made extensive efforts to overcome the major obstacles of optoelectronic devices, such as low absorption of light in photovoltaic devices [ 1, 2 ] and poor light extraction efficiency out of light-emitting diodes (LEDs), [ 3, 4 ] and so on. Until now, there have been two main approaches to fabricate efficient ARSs: one is coating antireflective (AR) layered films on the surface and the other is fabricating a subwavelength biomimetic moth s eye structure on the surface. AR coatings are suitable for highthroughput industrial production in large area processing [ 5 ] but typically validated to suppress reflection at a specific wavelength and at specific incident angles. On the other hand, the biomimetic moth s eye structure, such as nanocones and nanopillars, enables us to suppress reflectance in the wider ranges of both spectrum and incident angles of light. [ 6, 7 ] For a moth s eye structure, however, it is critical that the lattice constant of the periodic nanostructure is sufficiently smaller than the wavelength of antireflection spectrum so that the array may not be resolved by light and the effective refractive index (RI) can be treated as a mean value between the RI values of air and the bulk semiconductor in proportion to the volume fraction of each material. [ 8, 9 ] The technology to achieve broader-bandwidth ARS is very important for efficient solar energy utilization in tandem photo voltaic cells and for optical display device industries. [ 8, 10 ] Therefore, the broadband ARSs have been demonstrated in many groups by fabricating nanoporous polymer film, [ 11 ] subwavelength aperiodic arrays of nano tips, [ 6 ] hollow pillar-like protuberances, [ 7 ] and randomly oriented sub-50 nm patterning with block copolymers. [ 12 ] Unfortunately, the feature size of sub-300 nm is necessary in order to broaden the optical antireflection spectrum of moth s eye structure into the ultraviolet (UV) and visible light applications, which is important for the performance of solar cells or display devices. Even with current H. Park, D. Shin, G. Kang, S. Baek, Prof. K. Kim School of Mechanical Engineering Yonsei University 50 Yonsei-ro, Seodaemun-gu, Seoul , Korea kks@yonsei.ac.kr Prof. W. J. Padilla Department of Physics Boston College 140 Commonwealth Avenue, Chestnut Hill, MA 02467, USA DOI: /adma top-down nanofabrication methods such as electron-beam lithography [ 13 ] and interference lithography, [ 14 ] it is not a trivial work to fabricate the size of sub-300 nm because high-cost and time-consuming nano-texturing technologies are required. According to the AM 1.5 solar spectrum, for example, the solar energy in shorter wavelength range from 300 to 500 nm including near-ultraviolet (NUV, nm) spectral range comprises 23% (or 36%) of all the available solar energy with crystalline (or amorphous) silicon semiconductors because of the limited bandgap of 1.12 ev (or 1.75 ev). [ 15 ] On the other hand, the UV sunlight in the spectral range shorter than 300 nm is mostly blocked by the Earth s ozone layer and has almost no influence on the solar energy harvesting on the sea level, as well as the AM 1.5 solar spectrum. Hence, a feasible optical antireflection enhancement up to the NUV region is enough to get sufficiently improved performance of solar cells. In this paper, we propose a novel method to extend the antireflection spectral range shorter than the lattice constant of the nanostructure by combining AR coatings and the moth s eye structure without a complicated process to fabricate a feature size of sub-300 nm. Based on conventional colloidal nanosphere lithography, we demonstrated novel graded-index (GRIN) nanostructures by integrating AR nanoisland coating arrays on top of silicon nano-conical-frustum (NCF) arrays. The NCF introduces the gradient RI in the visible wavelength longer than the lattice constant. The quarter-wavelength ( λ /4) singlelayer AR nanoisland coatings on top of the frustum arrays are designed to suppress the reflectance at a specific NUV wavelength shorter than the lattice constant. Finally, the antireflection property of our design is significantly enhanced compared to that of sharp-tipped nanocone structures, so that the average reflectance in the NUV spectral range ( nm) decreased from 9.2% to 3.8%. To our best knowledge, this is the first method to extend antireflection spectrum including the NUV region without any extra process to fabricate feature size below 300 nm. Because our structure does not rigorously require either smaller lattice constant than the NUV wavelength or sharp-tipped nanocone structure, it provides extra flexibility and tolerance to the fabrication of GRIN nanostructure to achieve broadband antireflection. It is also desirable that our design results in less increase of surface area of nanostructure on silicon substrate, since the higher surface recombination caused by the larger surface area typically degrades the performance of photovoltaic cell due to the reductions of both open circuit voltage and fill factor. [ 2, 13 ] In addition, the residual polystyrene (PS) layer with intermediate 5796

2 Figure 1. Schematic diagram shows the detailed fabrication process of AR nanoislands in silicon NCF arrays: (a) deposition of nanosphere crystal on silicon substrate, (b) simultaneous removal of Si substrate and nanosphere mask during the RIE process, and (c) fi nally fabricated AR nanoislands on NCF. (d) Experimental reflection data from the interface between planar c-si and air, and the real ( n ) and imaginary ( k ) parts of c-si. [ 16 ] (e) FDTD simulation results with various thicknesses of AR nanoislands on top of a NCF. The inset represents the geometry of the structure (d top = 300 nm, d base = 500 nm, h = 500 nm). RI value between the RI s of air and silicon [ 16 ] may behave as a buffer layer which relieves the large difference of RI s for impedance matching effect in overall range of wavelength. Colloidal nanosphere lithography is a simple, low-cost, and time-efficient bottom-up subwavelength scale nanofabrication method. [ 1, 3, 17, 18 ] Figures 1 (a) to 1 (c) illustrate the detailed process of our fabricating AR NCF arrays integrated with AR nanoislands using colloidal lithography. Firstly, hexagonal close-packed monolayer nanosphere crystals are prepared on substrate as etching mask via self-assembly from floating on air-water interface. (see Figure 1 (a)) Then, the substrates are etched by reactive ion etching (RIE) using self-assembled mono layer nanospheres crystals as etching mask for patterning of periodic nanostructure. (see Figure 1 (b)) Owing to the physicochemical etching nature of the RIE with directional bombardment of accelerated ion and isotropic chemical etch of highly reactive radicals from inert plasma gases, the sphere masks are also etched away during RIE process and the traverse diameter of sphere decreases gradually. [ 19 ] As the traverse diameter of sphere decreases, the etched area of underlying substrate increases accordingly. Since the top diameter of the obtained structure is nearly the same as the traverse diameter of sphere mask, the shape of produced GRIN structure will be transformed from cylinder to conical frustum. [ 8 ] In our experiment, by controlling the mixture plasma gases (C 4 F 8, SF 6, O2 ) and processing time appropriately, we were able to fabricate the wanted thickness of nearly planar PS bead mask on top of NCF before the sphere is totally etched away. These plane-like residuals of PS bead mask are designed to behave as AR nanoislands coating to enhance the antireflection at specific NUV wavelength. The addition of O 2 gas in the mixture of C 4 F 8, SF 6 gases in a single-step deep RIE process [ 14 ] allowed us to regulate the thickness of nanoislands AR coating and to manipulate the sidewall profile of finally fabricated GRIN nanostructure from conical frustum to cone at the same time. [ 17 ] After the sphere mask is totally etched, the textured profile starts to change from conical frustum to cone. [ 8 ] The antireflection performances of GRIN structure surfaces in the UV wavelength are limited by the feasibility of the fabrication of lattice constant sufficiently less than the incident light s short wavelength. The performance of GRIN ARS on silicon substrate may also be degenerated in the NUV spectral range by the increased values of both real (n) and imaginary (k) parts of RI, according to the Fresnel reflection at the interface of air (n air ) and opaque dielectric media (n media + i k media ), R = {(n media n air ) 2 + k media 2 }/{(n media + n air ) 2 + k media 2 } [ 20 ] For example, as you can see in Figure 1 (d), for planar bulk crystalline silicon (c-si) substrate, two peaks of real and imaginary parts of RI around 280 nm and 370 nm bring about two peaks of reflectance. To investigate the effect of AR nanoislands coating, using FDTD simulation, we numerically calculated the reflectance of AR-coated silicon NCF when the thickness of each planar nanoisland is given as 0 nm, 50 nm, and 100 nm. The simulation results of reflectance are shown in Figure 1 (e) when the top diameter and base diameter are fixed as 300 nm and 500 nm with a height of 500 nm, respectively. Consistently with a conventional λ /4 AR coating, the suppression peak of reflectance spectra shifts to blue side if the thickness of nanoisland AR coating decreases. Finally, broadband antireflection including the NUV spectral range is achieved when the thickness is 50 nm. These data confirm that the reflectance at specific wavelength is able to be efficiently suppressed with a single layer nanoisland AR coating on conical frustum. Besides, the thicker buffer layer of PS bead with the intermediate RI reduces reflection in visible wavelength region ( > 400 nm) because of the smooth RI profile for the impedance matching effect. The AR nanoislands in silicon NCF arrays are fabricated in three major steps: nanospheres self-assembly on water-air interface, deposition of monolayer on a silicon substrate, and singlestep deep reactive ion etching (SDRIE) with SF 6, C 4 F 8, and O 2 gases to tailor the shape of NCF structure. We purchased PS spheres solutions of 10 wt.% (5050A, diameter = 500 nm and 5036A, diameter = 360 nm) from Thermo-scientific Inc. After careful application of PS sphere mixture solution with ethanol onto de-ionized water (resistivity = 18.0 M Ω ) in a Petri dish, the formation of two dimensional (2D) colloidal nanosphere mask starts on air-water interface. The relatively low mass density of amphiphilic ethanol molecules allows PS beads to float and disperse over a large area (up to several cm 2 ) on the air-water interface. [ 19 ] The trapped 2D colloidal crystals can be used as a nanospheres mask for fabrication of GRIN nanostructure. The proper ethanol content in the sphere mixture solution grants an 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim wileyonlinelibrary.com 5797

3 Figure 2. (a) An optical photograph and (b) an SEM image (normal view) of 2D PS nanospheres monolayer mask pattern transferred on Si substrate (20 20 mm 2 ). (c) A SEM image of PS nanoislands on top of Si NCF arrays while NCF arrays are fabricated via ICP etching. (d) Captured side wall profi le image with a technique for creating cross-sections of the milled structures using a dual-beam FIB system. (e) A photograph of bare silicon and surface textured silicon. optimal balance between attractive and repulsive forces between spheres such as van der Waals, capillary, and so on. [ 21 ] After successful formation of monolayer colloidal crystal on air-water interface, pre-cleaned c-si substrate (20 20 mm 2 ) with RCA solution (NH 4 OH (25%): H 2 O 2 (30%): H 2 O = 1: 1: 5, kept for 15 min at 80 C) is introduced in the Petri dish. Then, the water is carefully drained from the dish and nanospheres monolayer mask is deposited onto the Si substrate. [ 21 ] Figures 2 (a) and 2 (b) show optical photograph and scanning electron microscope (SEM) image of 2D PS beads crystals on Si substrate, respectively. When PS nanospheres successfully formed a hexagonal close-packed 2D lattice, beautiful iridescent color diffracted from the crystal is visible to the naked eye. Using PS nanospheres crystals as etching mask, the AR nanoislands-coated NCF arrays were fabricated by applying a SDRIE process [ 14 ] in an inductive coupled plasma (ICP) etcher (Oxford Instrument, ICP380). Deep reactive ion etching (DRIE or Bosch process ) is an attractive fabrication method because of high silicon etching rate (several μ m per min) and high aspect ratio ( > 10) of subwavelength nanostructure. [ 18 ] However, during the cyclic etching/deposition step of DRIE, naturally generated undesirable periodic ripples on the sidewall of nanostructure make it unsuitable for fabricating AR tapered nanostructure. [ 22 ] Recently, a SDRIE technique is introduced to fabricate tapered silicon photonic crystals without ripples on sidewall. [ 14 ] Besides conventional SF 6 and C 4 F 8 plasma gases, we added O 2 reactive plasma gas content and this enabled us to regulate the smooth sidewall profile of NCF array and the thickness of residual PS AR nanoislands simultaneously. As shown in Figure 2 (c), the residual PS nanoislands on top of NCF arrays are manipulated by controlling the O 2 gas content and etching time in SDRIE process. The side wall profiles of our nanostructures are investigated by a technique for creating cross-sections of the milled structures using a dual-beam Focused Ion Beam (FIB, FEI Nova 200 Nanolab) system, which is a combination of a gallium ion (Ga + ) milling FIB and a high resolution SEM system, as shown in Figure 2 (d). [ 23 ] Figure 2 (e) is a photograph of bare silicon and surface textured silicon samples. In order to characterize the reflectance of our samples, we performed absolute hemispherical measurement using UV-VIS-NIR spectrophotometer (UV3600, Shimadzu Scientific Instruments) with a 60 mm-diameter integrating sphere (MPC-3100) by scanning a monochromator coupled to a halogen lamp. The reflected beam including specular and diffuse reflections from the sample are scattered and collected in an integrating sphere and measured by a photomultiplier tube detector. [ 17 ] To experimentally demonstrate the effect of AR nanoisland, we compared the reflectance of NCF arrays of 500 nm lattice constant with and without AR nanoislands on 250 μm thick Si substrates. We used the PS bead residuals as AR coatings and controlled the thickness of PS residual nanoislands on top of NCF by manipulating O 2 content during the SDRIE process. Figures 3 (a) and 3 (b) are the bird view (52 ) SEM images of samples (a) and (b), which have elliptic AR nanoislands with center thickness of 90 nm and 110 nm on NCF arrays, respectively. Each NCF array of samples (a) and (b) has the top diameter (d top ) as d top = 250 nm and d top = 270 nm with similar height (h) of h = 450 nm. Samples (a ) and (b ) are NCF arrays samples which we got after we rinsed the AR nano islands from samples (a) and (b), respectively. Figure 3. Top view SEM images (a to c) of Si GRIN nanostructures with the same lattice constant of 500 nm. Two ARS s integrating PS beads AR nanoislands with Si NCF arrays with similar heights of 450 nm and each top diameter (d top ) as (a) d top = 250 nm and (b) d top = 270 nm. (a ) and (b ) are two NCF structures obtained after rinsing samples (a) and (b). (c) A nanocone structure with height (h) and base diameter (d base ) as h = 500 nm, d base = 420 nm. (d) and (d ) are the cross-sectional profi les of samples (a) and (a ). (e) Overall reflectance spectra including both specular and diffuse reflections of samples from (a) to (c). 5798

4 To eliminate the complicated effects of morphological difference between the fabricated NCF samples, we measured the reflectance of NCF arrays with AR nanoislands, and then, after removing only the AR nanoislands, observed the reflectance again for the same sample. These procedures enable us to compare reflectance of samples with and without AR nanoislands along with exactly the same morphologies of NCF geometry. From the reflectance spectra (see Figure 3 (e)), in the range of 300 nm to 400 nm, average reflectance of the samples with AR nanoislands are significantly suppressed from 20.4% (19.4%) to 9.3% (14.2%), when the center thickness of elliptic AR layer is 90 nm (110 nm). The lattice constant (500 nm) is larger than the wavelength of this NUV region, hence, these antireflection enhancements are originated from the destructive interferences using λ /4-thick AR layer. The antireflection of sample (a) at 370 nm region is better than those of sample (b) and a typical nanocone structure (see Figure 3 (c)) because the effective optical thickness of elliptic AR nanoislands is closer to the optimal λ /4 AR thickness. Figure 3 (d) is the cross-sectional profile of sample (a) and the center thickness and diameter of AR nanoislands are 90 nm and 250 nm, respectively. Because the AR nanoislands have elliptically curved structures, the center optical path length of AR layer is observed to be larger than λ /4. Figure 3 (d ) is the cross-sectional profile of sample (a ), which we got after rinsing the AR nanoislands from sample (a). In order to enhance antireflection in NUV region, we fabricated nanocones or NCF arrays with smaller lattice constant of 360 nm on 250 μ m thick Si substrates. Figures 4 (a) to 4 (d) and Figures 4 (a ) to 4 (d ) are the bird view and the top view SEM images of four samples, respectively. After a proper SDRIE process with PS crystals as etching mask, we fabricated the tips of samples (a) and (b) as sharp as possible because the smooth RI profile results in improvement of antireflection due to the impedance matching between air and silicon. For samples (a) and (b), the heights (h) and base diameters (d base ) are h = 300 nm, d base = 230 nm and h = 370 nm, d base = 200 nm, respectively. It is reported that the influence of the distance between arrays, i.e. packing density, is small compared to those of height and lattice constant. [ 8, 24 ] Figure 4 (e) is the reflectance spectra of four samples. Although we can enhance antireflection using a sharp-tipped GRIN nanostructure in the range of 450 nm to 900 nm, the average reflectance in the NUV spectral range ( nm) is 9.2% even with wellmade nanocone structures because of following reasons: The antireflection enhancement appears mainly in the wavelength region longer than the lattice constant [ 9 ] and the increased RI of silicon in Figure 1 (d) induces significant Fresnel reflection in the NUV region. Hence, in spite of well achieved sharpness of our silicon nanocones, the sample (a) and (b) still show the reflectance peak at NUV range especially at 370 nm, in which the usage is important as energy sources in photovoltaic application. In the other two samples of Figures 4 (c) and 4 (d), we controlled the thickness of PS residual nanoislands on top of NCF of different taper angles by manipulating O 2 content during the SDRIE process. An additional 10 sccm of O 2 content made steeper taper angles of sharp-tipped nanocone (sample (b)) and larger top diameter of NCF (sample (d)) compared to the cases of nanocone (sample (a)) and NCF (sample (c)) without O 2 content. In the case of AR nanoislands coated Si NCF arrays, sample (c) and (d) have top diameters of 90 nm and 190 nm with similar height of 320 nm, respectively. In comparison with the average reflectance ( 9.2%) in the range of 300 nm to 400 nm of sharp-tipped nanocone structures of (a) and (b), the average reflectance of samples (c) and (d) are significantly suppressed to 4.8% and 3.8%, respectively. The antireflections of samples (c) and (d) in longer wavelength than 450 nm are similarly efficient as well. There are two major factors for these enhancements of broadband antireflection via nanoislands structure. Firstly, λ /4-wavelength AR coatings of nanoislands at specific NUV spectral range significantly suppress the reflection in the range from 300 to 400 nm. Secondly, because the RI (n PS = 1.6 at 500 nm, for example) of PS nanoislands is intermediate value Figure 4. The bird view (a to d) and the top view (a to d ) SEM images of four Si GRIN nanostructures with the same lattice constant of 360 nm. Two sharp-tipped nanocone structures with height (h) and base diameter (d base ) as (a) h = 300 nm, d base = 230 nm and (b) h = 370 nm, d base = 200 nm, respectively. Two ARS s integrating PS beads AR nanoislands with Si NCF arrays with similar height of 320 nm and each top diameter (d top ) as (c) d top = 90 nm and (d) d top = 190 nm. (d ) The cross-sectional profi le of sample (d). (e) Overall reflectance spectra including both specular and diffuse reflections of four samples from (a) to (d) WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim wileyonlinelibrary.com 5799

5 between those of air ( n air = 1) and silicon (n Si = 4.29 at 500 nm) in the overall UV, visible, and near-infrared (NIR) regions, the effective RI profile of GRIN structure may be better impedancematched. In the overall spectrum from the NUV to NIR, the antireflection of the larger area AR nanoislands in NCF with steeper frustum of sample (d) is better than the smaller area AR nanoislands in NCF close to an ideal nanocone of sample (c). This signifies that AR coating effect plays a dominant role in the antireflection enhancement of the NUV region and the smooth impedance matching effect of PS nanoislands makes the antireflection in the visible and NIR region as good as sharp-tipped nanocone structures. Figure 4 (d ) is the crosssectional profile of sample (d) and the thickness and dia meter of AR nanoislands are observed as 60 nm and 190 nm, respectively. As the RI of PS bead is 1.7 around 370 nm, the effective optical path length of AR nanoislands coating consistently corresponds to λ /4. In conclusion, we demonstrated novel GRIN nanostructures for improved broadband optical antireflection by integrating AR nanoisland coating arrays with silicon NCF arrays fabricated by SDRIE with a close-packed PS nanosphere mask. The average reflectance of our structure in the NUV spectral range ( nm) is significantly reduced to 3.8%, while that of sharp-tipped nanocone structures is as much as 9.2%. This is a feasible optimized integration method of two major approaches for ARS: AR coating and biomimetic moth s eye structure, which does not need any complicated process to fabricate feature sizes below 300 nm to achieve high energy harvesting in photovoltaic cells. To apply this novel method in photovoltaic cells, further research is required to obtain more suitable dielectric materials for p-n junction forming procedures. [ 25, 26 ] Experimental Section Fabrication of Nano-Conical-Frustum Arrays via SDRIE : The SDRIE process can be simply understood as the DRIE process without timemultiplexing, in which we simultaneously carry out silicon etching and side-wall passivation in a flow of SF 6 and C 4 F 8. In our experiments an inductive coupled plasma (ICP) etcher (Oxford Instrument, ICP, 380) was used. The samples were fabricated by fi xed mixing of SF 6, C 4 F 8 gases(45 and 35 sccm), and variable O 2 gas content(0, 5,10 sccm) at a r.f. power of 150 W and process pressure of 50 mtorr. Details of FIB Cross-sectioning Method : After site-specifi c FIB-induced deposition of a platinum (Pt) layer over the region of interest to prevent deformation while milling the cross-section, a large rectangular hole with a Ga + ion was milled. Then, precise line-by-line scan milling is applied to fi nd the cross-section through the center of the NCF arrays. Finally, the side wall profi les of the nanostructure are captured by SEM at a fi xed angle of 52. Absolute Hemispherical Measurements : Wavelength-dependent measurements from 250 nm to 900 nm were carried out by scanning a monochromator coupled to a halogen lamp. The reflected and transmitted light from the sample are scattered and collected in an integrating sphere and measured by a photomultiplier tube detector. The use of an integrating sphere enables us to thoroughly measure overall reflectance spectra R( λ ) of our samples with textured surfaces including both specular and diffuse reflections. [ 17 ] For the measurement of the reflection spectrum, the fabricated samples were mounted at the backside of the integrating sphere with an oblique incidence angle (8 ) with respect to the normal incident light beam. This way the collection and detection of the reflected light from the sample was achieved. Supporting Information Supporting Information is available from the Wiley Online Library or from the author. Acknowledgements This work has been supported by the Low Observable Technology Research Center program of the Defense Acquisition Program Administration and Agency for Defense Development, basic research program of Agency for Defense Development (ADD ), and the National Research Foundation of Korea grants funded by the Ministry of Education, Science and Technology (NRF , ). Received: September 3, 2011 Published online: November 24, 2011 [1 ] J. Zhu, Z. F. Yu, G. F. Burkhard, C. M. Hsu, S. T. Connor, Y. Q. Xu, Q. Wang, M. McGehee, S. H. Fan, Y. Cui, Nano Lett. 2009, 9, 279. [2 ] E. Garnett, P. D. Yang, Nano Lett. 2010, 10, [3 ] C. B. Soh, B. Wang, S. J. Chua, V. K. X. Lin, R. J. N. Tan, S. Tripathy, Nanotechnology 2008, 19, [4 ] J. K. Kim, S. Chhajed, M. F. Schubert, E. F. Schubert, A. J. Fischer, M. H. Crawford, J. Cho, H. Kim, C. Sone, Adv. Mater. 2008, 20, 801. [5 ] W. Soppe, H. Rieffe, A. Weeber, Progr. Photovoltaics 2005, 13, 551. [6 ] Y. F. Huang, S. Chattopadhyay, Y. J. Jen, C. Y. Peng, T. A. Liu, Y. K. Hsu, C. L. Pan, H. C. Lo, C. H. Hsu, Y. H. Chang, C. S. Lee, K. H. Chen, L. C. Chen, Nat. Nanotechnol. 2007, 2, 770. [7 ] T. Lohmuller, M. Helgert, M. Sundermann, R. Brunner, J. P. Spatz, Nano Lett. 2008, 8, [8 ] Y. F. Li, J. H. Zhang, B. Yang, Nano Today 2010, 5, 117. [9 ] S. J. J. Wilson, M. C. Hutley, Optica Acta 1982, 29, 993. [10 ] J. Yang, B. J. Yan, S. Guha, Thin Solid Films 2005, 487, 162. [11 ] S. Walheim, E. Schaffer, J. Mlynek, U. Steiner, Science 1999, 283, 520. [12 ] B. Paivanranta, P. K. Sahoo, E. Tocce, V. Auzelyte, Y. Ekinci, H. H. Solak, C. C. Liu, K. O. Stuen, P. F. Nealey, C. David, ACS Nano 2011, 5, [13 ] Y. R. Lu, A. Lal, Nano Lett. 2010, 10, [14 ] Y. J. Hung, S. L. Lee, L. A. Coldren, Opt. Express 2010, 18, [15 ] A. V. Shah, H. Schade, M. Vanecek, J. Meier, E. Vallat-Sauvain, N. Wyrsch, U. Kroll, C. Droz, J. Bailat, Progr. Photovoltaics 2004, 12, 113. [16 ] E. D. Palik, G. Ghosh, Handbook of Optical Constants of Solids, Academic Press, San Diego [17 ] S. Y. Chuang, H. L. Chen, J. Shieh, C. H. Lin, C. C. Cheng, H. W. Liu, C. C. Yu, Nanoscale 2010, 2, 799. [18 ] C. L. Cheung, R. J. Nikolic, C. E. Reinhardt, T. F. Wang, Nanotechnology 2006, 17, [ 19 ] A. Kosiorek, W. Kandulski, H. Glaczynska, M. Giersig, Small 2005, 1, 439. [20 ] O. S. Heavens, Optical Properties of Thin Solid Films, Dover Publications, New York [21 ] W. Kandulski, Shadow Nanosphere Lithography, Ph.D thesis, Bonn University, [22 ] C. H. Choi, C. J. Kim, Nanotechnology 2006, 17, [23 ] W. C. L. Hopman, F. Ay, W. B. Hu, V. J. Gadgil, L. Kuipers, M. Pollnau, R. M. de Ridder, Nanotechnology 2007, 18, [24 ] W. Zhou, M. Tao, L. Chen, H. Yang, J. Appl. Phys. 2007, 102, [25 ] J. Li, H. Yu, Int. J. Nanoparticles 2011, 4, 284. [26 ] V. Sivakov, G. Andra, A. Gawlik, A. Berger, J. Plentz, F. Falk, S. H. Christiansen, Nano Lett. 2009, 9,

Optical Absorption Enhancement in Amorphous Silicon Nanowire and Nanocone Arrays

Optical Absorption Enhancement in Amorphous Silicon Nanowire and Nanocone Arrays Letter Subscriber access provided by STANFORD UNIV GREEN LIBR Optical Absorption Enhancement in Amorphous Silicon Nanowire and Nanocone Arrays Jia Zhu, Zongfu Yu, George F. Burkhard, Ching-Mei Hsu, Stephen

More information

Self-assembled nanostructures for antireflection optical coatings

Self-assembled nanostructures for antireflection optical coatings Self-assembled nanostructures for antireflection optical coatings Yang Zhao 1, Guangzhao Mao 2, and Jinsong Wang 1 1. Deaprtment of Electrical and Computer Engineering 2. Departmentof Chemical Engineering

More information

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures

High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures High Efficiency Triple-Junction Solar Cells Employing Biomimetic Antireflective Structures M.Y. Chiu, C.-H. Chang, F.-Y. Chang, and Peichen Yu, Green Photonics Laboratory Department of Photonics National

More information

Lithography-Free Broadband Ultrathin Film. Photovoltaics

Lithography-Free Broadband Ultrathin Film. Photovoltaics Supporting Information Lithography-Free Broadband Ultrathin Film Absorbers with Gap Plasmon Resonance for Organic Photovoltaics Minjung Choi 1, Gumin Kang 1, Dongheok Shin 1, Nilesh Barange 2, Chang-Won

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 1258 2010 Materials Research Society 1258-Q14-02 Realization of silicon nanopillar arrays with controllable sidewall profiles by holography lithography and a novel single-step

More information

Nanostructured Antireflection Coatings for Optical Detection and Sensing Applications

Nanostructured Antireflection Coatings for Optical Detection and Sensing Applications Mater. Res. Soc. Symp. Proc. Vol. 1805 2015 Materials Research Society DOI: 10.1557/opl.2015.689 Nanostructured Antireflection Coatings for Optical Detection and Sensing Applications Gopal G. Pethuraja

More information

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures Supplementary Information Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye Nanostructures Lei Zhou, Qing-Dong Ou, Jing-De Chen, Su Shen, Jian-Xin Tang,* Yan-Qing Li,* and Shuit-Tong

More information

Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures

Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures Supporting Information Multiple-Patterning Nanosphere Lithography for Fabricating Periodic Three-Dimensional Hierarchical Nanostructures Xiaobin Xu, 1,2 Qing Yang, 1,2 Natcha Wattanatorn, 1,2 Chuanzhen

More information

Nanophotonics: solar and thermal applications

Nanophotonics: solar and thermal applications Nanophotonics: solar and thermal applications Shanhui Fan Ginzton Laboratory and Department of Electrical Engineering Stanford University http://www.stanford.edu/~shanhui Nanophotonic Structures Photonic

More information

Emission pattern control and polarized light emission through patterned graded-refractiveindex coatings on GaInN light-emitting diodes

Emission pattern control and polarized light emission through patterned graded-refractiveindex coatings on GaInN light-emitting diodes Emission pattern control and polarized light emission through patterned graded-refractiveindex coatings on GaInN light-emitting diodes Ming Ma, 1 Ahmed N. Noemaun, 2 Jaehee Cho, 2,* E. Fred Schubert, 2

More information

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Supporting Information Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation Yuanmu Yang, Wenyi Wang, Parikshit Moitra, Ivan I. Kravchenko, Dayrl P. Briggs,

More information

Quantum Dots for Advanced Research and Devices

Quantum Dots for Advanced Research and Devices Quantum Dots for Advanced Research and Devices spectral region from 450 to 630 nm Zero-D Perovskite Emit light at 520 nm ABOUT QUANTUM SOLUTIONS QUANTUM SOLUTIONS company is an expert in the synthesis

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Large-area omnidirectional antireflection coating on low-index materials

Large-area omnidirectional antireflection coating on low-index materials 2584 J. Opt. Soc. Am. B / Vol. 30, No. 10 / October 2013 P.-C. Li and E. T. Yu Large-area omnidirectional antireflection coating on low-index materials Ping-Chun Li and Edward T. Yu* Microelectronics Research

More information

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter

Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 551 Research on the Wide-angle and Broadband 2D Photonic Crystal Polarization Splitter Y. Y. Li, P. F. Gu, M. Y. Li,

More information

Highly Sensitive Color-Tunablility by Scalable. Nanomorphology of Dielectric Layer in Liquid Permeable. Metal-Insulator-Metal Structure

Highly Sensitive Color-Tunablility by Scalable. Nanomorphology of Dielectric Layer in Liquid Permeable. Metal-Insulator-Metal Structure Supporting Information Highly Sensitive Color-Tunablility by Scalable Nanomorphology of Dielectric Layer in Liquid Permeable Metal-Insulator-Metal Structure Eui-Sang Yu,, Sin-Hyung Lee, Young-Gyu Bae,

More information

(Co-PIs-Mark Brongersma, Yi Cui, Shanhui Fan) Stanford University. GCEP Research Symposium 2013 Stanford, CA October 9, 2013

(Co-PIs-Mark Brongersma, Yi Cui, Shanhui Fan) Stanford University. GCEP Research Symposium 2013 Stanford, CA October 9, 2013 High-efficiency thin film nano-structured multi-junction solar James S. cells Harris (PI) (Co-PIs-Mark Brongersma, Yi Cui, Shanhui Fan) Stanford University GCEP Research Symposium 2013 Stanford, CA October

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

Etching: Basic Terminology

Etching: Basic Terminology Lecture 7 Etching Etching: Basic Terminology Introduction : Etching of thin films and sometimes the silicon substrate are very common process steps. Usually selectivity, and directionality are the first

More information

Bulk crystalline silicon (c-si) solar cells dominate the

Bulk crystalline silicon (c-si) solar cells dominate the pubs.acs.org/nanolett Efficient Light Trapping in Inverted Nanopyramid Thin Crystalline Silicon Membranes for Solar Cell Applications Anastassios Mavrokefalos, Sang Eon Han, Selcuk Yerci, Matthew S. Branham,

More information

Quasi-periodic nanostructures grown by oblique angle deposition

Quasi-periodic nanostructures grown by oblique angle deposition JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 12 15 DECEMBER 2003 Quasi-periodic nanostructures grown by oblique angle deposition T. Karabacak, a) G.-C. Wang, and T.-M. Lu Department of Physics, Applied

More information

Monolayer Semiconductors

Monolayer Semiconductors Monolayer Semiconductors Gilbert Arias California State University San Bernardino University of Washington INT REU, 2013 Advisor: Xiaodong Xu (Dated: August 24, 2013) Abstract Silicon may be unable to

More information

Arrays of nanostructures such as nanocones, nanotips,

Arrays of nanostructures such as nanocones, nanotips, pubs.acs.org/nanolett Fabrication of Broadband Antireflective Plasmonic Gold Nanocone Arrays on Flexible Polymer Films Mana Toma, Gabriel Loget, and Robert M. Corn* Department of Chemistry, University

More information

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Supplementary Figure 1. SEM images of perovskite single-crystal patterned thin film with

More information

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer SUHAILA SEPEAI, A.W.AZHARI, SALEEM H.ZAIDI, K.SOPIAN Solar Energy Research Institute (SERI), Universiti Kebangsaan Malaysia (UKM), 43600

More information

Light trapping in thin-film solar cells: the role of guided modes

Light trapping in thin-film solar cells: the role of guided modes Light trapping in thin-film solar cells: the role of guided modes T. Søndergaard *, Y.-C. Tsao, T. G. Pedersen, and K. Pedersen Department of Physics and Nanotechnology, Aalborg University, Skjernvej 4A,

More information

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur Nova 600 NanoLab Dual beam Focused Ion Beam system @ IITKanpur Dual Beam Nova 600 Nano Lab From FEI company (Dual Beam = SEM + FIB) SEM: The Electron Beam for SEM Field Emission Electron Gun Energy : 500

More information

DIELECTRIC nanoparticles (NPs) have recently been proposed

DIELECTRIC nanoparticles (NPs) have recently been proposed IEEE JOURNAL OF PHOTOVOLTAICS 1 Effect of EVA Encapsulation on Antireflection Properties of Mie Nanoscatterers for c-si Solar Cells P. Spinelli, F. Lenzmann, A. Weeber, and A. Polman Abstract Dielectric

More information

Research Article Si Substrate-Based Metamaterials for Ultrabroadband Perfect Absorption in Visible Regime

Research Article Si Substrate-Based Metamaterials for Ultrabroadband Perfect Absorption in Visible Regime Nanomaterials, Article ID 893202, 5 pages http://dx.doi.org/0.55/204/893202 Research Article Si Substrate-Based Metamaterials for Ultrabroadband Perfect in Visible Regime Qi Han, Lei Jin, Yongqi Fu, and

More information

Ultrafast single photon emitting quantum photonic structures. based on a nano-obelisk

Ultrafast single photon emitting quantum photonic structures. based on a nano-obelisk Ultrafast single photon emitting quantum photonic structures based on a nano-obelisk Je-Hyung Kim, Young-Ho Ko, Su-Hyun Gong, Suk-Min Ko, Yong-Hoon Cho Department of Physics, Graduate School of Nanoscience

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2018 Supporting Information Si/SiO x Hollow Nanospheres/Nitrogen-Doped Carbon

More information

Materials Science and Engineering R

Materials Science and Engineering R Materials Science and Engineering R 70 (2010) 330 340 Contents lists available at ScienceDirect Materials Science and Engineering R journal homepage: www.elsevier.com/locate/mser Nanostructured photon

More information

GRAPHENE EFFECT ON EFFICIENCY OF TiO 2 -BASED DYE SENSITIZED SOLAR CELLS (DSSC)

GRAPHENE EFFECT ON EFFICIENCY OF TiO 2 -BASED DYE SENSITIZED SOLAR CELLS (DSSC) Communications in Physics, Vol. 26, No. 1 (2016), pp. 43-49 DOI:10.15625/0868-3166/26/1/7961 GRAPHENE EFFECT ON EFFICIENCY OF TiO 2 -BASED DYE SENSITIZED SOLAR CELLS (DSSC) NGUYEN THAI HA, PHAM DUY LONG,

More information

Absorption enhancement in double-sided nanocone hole arrays for solar cells

Absorption enhancement in double-sided nanocone hole arrays for solar cells University of Wollongong Research Online Faculty of Engineering and Information Sciences - Papers: Part A Faculty of Engineering and Information Sciences 2015 Absorption enhancement in double-sided nanocone

More information

Plasma modification of nanosphere lithography masks made of polystyrene beads

Plasma modification of nanosphere lithography masks made of polystyrene beads JOURNAL OF OPTOELECTRONICS AND ADVANCED MATERIALS Vol. 12, No. 3, March 2010, p. 740-744 Plasma modification of nanosphere lithography masks made of polystyrene beads D. GOGEL a, M. WEINL a,b, J. K. N.

More information

Theoretical Study on Graphene Silicon Heterojunction Solar Cell

Theoretical Study on Graphene Silicon Heterojunction Solar Cell Copyright 2015 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoelectronics and Optoelectronics Vol. 10, 1 5, 2015 Theoretical Study on Graphene

More information

Surface Plasmon Polariton Assisted Metal-Dielectric Multilayers as Passband Filters for Ultraviolet Range

Surface Plasmon Polariton Assisted Metal-Dielectric Multilayers as Passband Filters for Ultraviolet Range Vol. 112 (2007) ACTA PHYSICA POLONICA A No. 5 Proceedings of the International School and Conference on Optics and Optical Materials, ISCOM07, Belgrade, Serbia, September 3 7, 2007 Surface Plasmon Polariton

More information

Chapter 7. Solar Cell

Chapter 7. Solar Cell Chapter 7 Solar Cell 7.0 Introduction Solar cells are useful for both space and terrestrial application. Solar cells furnish the long duration power supply for satellites. It converts sunlight directly

More information

Nanojet and Surface Enhanced Raman Spectroscopy (NASERS) for Highly Reproducible and Controllable Single Molecule Detection

Nanojet and Surface Enhanced Raman Spectroscopy (NASERS) for Highly Reproducible and Controllable Single Molecule Detection Nanojet and Surface Enhanced Raman Spectroscopy (NASERS) for Highly Reproducible and Controllable Single Molecule Detection Te-Wei Chang, Manas Ranjan Gartia and Gang Logan Liu Department of Electrical

More information

Multicolor Graphene Nanoribbon/Semiconductor Nanowire. Heterojunction Light-Emitting Diodes

Multicolor Graphene Nanoribbon/Semiconductor Nanowire. Heterojunction Light-Emitting Diodes Multicolor Graphene Nanoribbon/Semiconductor Nanowire Heterojunction Light-Emitting Diodes Yu Ye, a Lin Gan, b Lun Dai, *a Hu Meng, a Feng Wei, a Yu Dai, a Zujin Shi, b Bin Yu, a Xuefeng Guo, b and Guogang

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Demonstration of Near-Infrared Negative-Index Materials

Demonstration of Near-Infrared Negative-Index Materials Demonstration of Near-Infrared Negative-Index Materials Shuang Zhang 1, Wenjun Fan 1, N. C. Panoiu 2, K. J. Malloy 1, R. M. Osgood 2 and S. R. J. Brueck 2 1. Center for High Technology Materials and Department

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/2/4/e1501227/dc1 Supplementary Materials for Self-assembly of highly efficient, broadband plasmonic absorbers for solar steam generation Lin Zhou, Yingling Tan,

More information

Efficient Light Trapping in Inverted Nanopyramid Thin Crystalline Silicon Membranes for Solar Cell Applications

Efficient Light Trapping in Inverted Nanopyramid Thin Crystalline Silicon Membranes for Solar Cell Applications Efficient Light Trapping in Inverted Nanopyramid Thin Crystalline Silicon Membranes for Solar Cell Applications The MIT Faculty has made this article openly available. Please share how this access benefits

More information

Supporting Information Available:

Supporting Information Available: Supporting Information Available: Photoresponsive and Gas Sensing Field-Effect Transistors based on Multilayer WS 2 Nanoflakes Nengjie Huo 1, Shengxue Yang 1, Zhongming Wei 2, Shu-Shen Li 1, Jian-Bai Xia

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Shadow Overlap Ion-beam Lithography for Nanoarchitectures

Shadow Overlap Ion-beam Lithography for Nanoarchitectures Shadow Overlap Ion-beam Lithography for Nanoarchitectures NANO LETTERS XXXX Vol. xx, No. x - Yeonho Choi, Soongweon Hong, and Luke P. Lee* Biomolecular Nanotechnology Center, Berkeley Sensor and Actuator

More information

Terahertz antireflection coating enabled by a subwavelength metallic mesh capped with a thin dielectric film

Terahertz antireflection coating enabled by a subwavelength metallic mesh capped with a thin dielectric film Invited Paper Terahertz antireflection coating enabled by a subwavelength metallic mesh capped with a thin dielectric film Li Huang 1*, Beibei Zeng 2, Chun-Chieh Chang 2 and Hou-Tong Chen 2* 1 Physics

More information

ABSTRACT 1. INTRODUCTION 2. EXPERIMENT

ABSTRACT 1. INTRODUCTION 2. EXPERIMENT Fabrication of Nanostructured Heterojunction LEDs Using Self-Forming Moth-Eye Type Arrays of n-zno Nanocones Grown on p-si (111) Substrates by Pulsed Laser Deposition D. J. Rogers 1, V. E. Sandana 1,2,3,

More information

PERIODIC ARRAYS OF METAL NANOBOWLS AS SERS-ACTIVE SUBSTRATES

PERIODIC ARRAYS OF METAL NANOBOWLS AS SERS-ACTIVE SUBSTRATES PERIODIC ARRAYS OF METAL NANOBOWLS AS SERS-ACTIVE SUBSTRATES Lucie ŠTOLCOVÁ a, Jan PROŠKA a, Filip NOVOTNÝ a, Marek PROCHÁZKA b, Ivan RICHTER a a Czech Technical University in Prague, Faculty of Nuclear

More information

Biomimetic Corrugated Silicon Nanocone Arrays for Self-Cleaning Antireflection Coatings

Biomimetic Corrugated Silicon Nanocone Arrays for Self-Cleaning Antireflection Coatings 520 Nano Res (2010) 3: 520 527 DOI 10.1007/s12274-010-0012-x Research Article Nano Res (2010) 3: 520 527 Biomimetic Corrugated Silicon Nanocone Arrays for Self-Cleaning Antireflection Coatings Yandong

More information

Super Flexible, High-efficiency Perovskite Solar Cells Employing Graphene Electrodes: Toward Future Foldable Power Sources

Super Flexible, High-efficiency Perovskite Solar Cells Employing Graphene Electrodes: Toward Future Foldable Power Sources Electronic Supplementary Material (ESI) for Energy & Environmental Science. This journal is The Royal Society of Chemistry 2016 Electronic Supplementary Information Super Flexible, High-efficiency Perovskite

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Nanomaterials and their Optical Applications

Nanomaterials and their Optical Applications Nanomaterials and their Optical Applications Winter Semester 2013 Lecture 02 rachel.grange@uni-jena.de http://www.iap.uni-jena.de/multiphoton Lecture 2: outline 2 Introduction to Nanophotonics Theoretical

More information

Supporting Information

Supporting Information Supporting Information Wiley-VCH 2014 69451 Weinheim, Germany A Fast Deposition-Crystallization Procedure for Highly Efficient Lead Iodide Perovskite Thin-Film Solar Cells** Manda Xiao, Fuzhi Huang, Wenchao

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2014 Supplementary Information Large-scale lithography-free metasurface with spectrally tunable super

More information

A study on wettability of the dual scale by plasma etch and nanohonycomb structure

A study on wettability of the dual scale by plasma etch and nanohonycomb structure A study on wettability of the dual scale by plasma etch and nanohonycomb structure Dongseob Kim and W. Hwang* Deptment of Mechanical Engineering, Pohang University of Science and Technology, San 31, Pohang,

More information

Routing of Deep-Subwavelength Optical Beams and Images without Reflection and Diffraction Using Infinitely Anisotropic Metamaterials

Routing of Deep-Subwavelength Optical Beams and Images without Reflection and Diffraction Using Infinitely Anisotropic Metamaterials Peter B. Catrysse * and Shanhui Fan Routing of Deep-Subwavelength Optical Beams and Images without Reflection and Diffraction Using Infinitely Anisotropic Metamaterials Media that are described by extreme

More information

Plasmon enhancement of optical absorption in ultra-thin film solar cells by rear located aluminum nanodisk arrays

Plasmon enhancement of optical absorption in ultra-thin film solar cells by rear located aluminum nanodisk arrays Opt Quant Electron (2017)49:161 DOI 10.1007/s11082-017-0930-x Plasmon enhancement of optical absorption in ultra-thin film solar cells by rear located aluminum nanodisk arrays Debao Zhang 1 Yawei Kuang

More information

OPTO-ELECTRONIC MODELLING OF THIN FILM NANOCRYSTALLINE SILICON SOLAR CELLS

OPTO-ELECTRONIC MODELLING OF THIN FILM NANOCRYSTALLINE SILICON SOLAR CELLS Journal of Ovonic Research Vol. 8, No. 4, July - August 2012, p. 81-90 OPTO-ELECTRONIC MODELLING OF THIN FILM NANOCRYSTALLINE SILICON SOLAR CELLS S.N. AGBO a, P.E. UGWUOKE a, F.I. EZEMA b a National Centre

More information

Light Absorption Enhancement in Thin-Film Solar Cells Using Whispering Gallery Modes in Dielectric Nanospheres

Light Absorption Enhancement in Thin-Film Solar Cells Using Whispering Gallery Modes in Dielectric Nanospheres Light Absorption Enhancement in Thin-Film Solar Cells Using Whispering Gallery Modes in Dielectric Nanospheres Jonathan Grandidier, * Dennis M. Callahan, Jeremy N. Munday, and Harry A. Atwater For thin-film

More information

Nanochannel-Assisted Perovskite Nanowires: Growth Mechanisms. to Photodetector Applications

Nanochannel-Assisted Perovskite Nanowires: Growth Mechanisms. to Photodetector Applications Supplementary Information: Nanochannel-Assisted Perovskite Nanowires: Growth Mechanisms to Photodetector Applications Qitao Zhou, Jun Gyu Park, Riming Nie, Ashish Kumar Thokchom, Dogyeong Ha, Jing Pan,

More information

Science and Technology, Dalian University of Technology, Dalian , P. R. China b

Science and Technology, Dalian University of Technology, Dalian , P. R. China b Electronic Supplementary Information for Fabrication of Superior-Performance SnO 2 @C Composites for Lithium-Ion Anodes Using Tubular Mesoporous Carbons with Thin Carbon Wall and High Pore Volume Fei Han,

More information

Supplementary Information for

Supplementary Information for Supplementary Information for Highly Stable, Dual-Gated MoS 2 Transistors Encapsulated by Hexagonal Boron Nitride with Gate-Controllable Contact Resistance and Threshold Voltage Gwan-Hyoung Lee, Xu Cui,

More information

U-Shaped Nano-Apertures for Enhanced Optical Transmission and Resolution

U-Shaped Nano-Apertures for Enhanced Optical Transmission and Resolution U-Shaped Nano-Apertures for Enhanced Optical Transmission and Resolution Mustafa Turkmen 1,2,3, Serap Aksu 3,4, A. Engin Çetin 2,3, Ahmet A. Yanik 2,3, Alp Artar 2,3, Hatice Altug 2,3,4, * 1 Electrical

More information

Rational Design of Inverted Nanopencil Arrays for Cost-Effective, Broadband, and Omnidirectional Light Harvesting

Rational Design of Inverted Nanopencil Arrays for Cost-Effective, Broadband, and Omnidirectional Light Harvesting Rational Design of Inverted Nanopencil Arrays for Cost-Effective, Broadband, and Omnidirectional Light Harvesting Hao Lin,,# Fei Xiu,,^,# Ming Fang, SenPo Yip,,^ Ho-Yuen Cheung, Fengyun Wang, Ning Han,,^

More information

Direct Observation of Inner and Outer G Band Double-resonance Raman Scattering in Free Standing Graphene

Direct Observation of Inner and Outer G Band Double-resonance Raman Scattering in Free Standing Graphene Direct Observation of Inner and Outer G Band Double-resonance Raman Scattering in Free Standing Graphene Zhiqiang Luo 1, Chunxiao Cong 1, Jun Zhang 1, Qihua Xiong 1 1, 2, 3*, Ting Yu 1. Division of Physics

More information

Two-dimensional homologous perovskites as light absorbing materials for solar cell applications

Two-dimensional homologous perovskites as light absorbing materials for solar cell applications Supporting Information for Two-dimensional homologous perovskites as light absorbing materials for solar cell applications Duyen H. Cao, Constantinos C. Stoumpos, Omar K. Farha,, Joseph T. Hupp, and Mercouri

More information

Full-color Subwavelength Printing with Gapplasmonic

Full-color Subwavelength Printing with Gapplasmonic Supporting information for Full-color Subwavelength Printing with Gapplasmonic Optical Antennas Masashi Miyata, Hideaki Hatada, and Junichi Takahara *,, Graduate School of Engineering, Osaka University,

More information

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Supporting Information An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Hyo-Jin Ahn a, Pradheep Thiyagarajan a, Lin Jia b, Sun-I

More information

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die printing system combined with grazing incidence X-ray diffraction (GIXD) set-up. 1 Supplementary Figure 2 2D GIXD images

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Controlling the Composition of Plasmonic Nanoparticle Arrays via Galvanic Displacement Reactions on Block Copolymer Nanotemplates

Controlling the Composition of Plasmonic Nanoparticle Arrays via Galvanic Displacement Reactions on Block Copolymer Nanotemplates Supporting Information Controlling the Composition of Plasmonic Nanoparticle Arrays via Galvanic Displacement Reactions on Block Copolymer Nanotemplates Ji Yong Lee, a Jieun Lee, a Yu Jin Jang, a Juyon

More information

Effect of Paired Apertures in a Periodic Hole Array on Higher Order Plasmon Modes

Effect of Paired Apertures in a Periodic Hole Array on Higher Order Plasmon Modes From the SelectedWorks of Fang-Tzu Chuang Winter November, 2012 Effect of Paired Apertures in a Periodic Hole Array on Higher Order Plasmon Modes Fang-Tzu Chuang, National Taiwan University Available at:

More information

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy Jing-jiang Yu Nanotechnology Measurements Division Agilent Technologies, Inc. Atomic Force Microscopy High-Resolution

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

Numerical analysis of the spectral response of an NSOM measurement

Numerical analysis of the spectral response of an NSOM measurement Birck Nanotechnology Center Birck and NCN Publications Purdue Libraries Year 2008 Numerical analysis of the spectral response of an NSOM measurement Edward C. Kinzel Xianfan Xu Purdue University, kinzele@purdue.edu

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Cheng-Yi Fang, Yu-Lun Liu, Yang-Chun Lee, Hsuen-Li Chen, * De-Hui Wan, and Chen-Chieh Yu FULL PAPER. 1. Introduction

Cheng-Yi Fang, Yu-Lun Liu, Yang-Chun Lee, Hsuen-Li Chen, * De-Hui Wan, and Chen-Chieh Yu FULL PAPER. 1. Introduction Cheng-Yi Fang, Yu-Lun Liu, Yang-Chun Lee, Hsuen-Li Chen, * De-Hui Wan, and Chen-Chieh Yu www.materialsviews.com Nanoparticle Stacks with Graded Refractive Indices Enhance the Omnidirectional Light Harvesting

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP013065 TITLE: Two-Dimensional Photonic Crystal Fabrication Using Fullerene Films DISTRIBUTION: Approved for public release,

More information

Substantial influence on solar energy harnessing ability by geometries of ordered Si nanowire array

Substantial influence on solar energy harnessing ability by geometries of ordered Si nanowire array Wu et al. Nanoscale Research Letters 2014, 9:495 NANO EXPRESS Open Access Substantial influence on solar energy harnessing ability by geometries of ordered Si nanowire array Zilong Wu 1, Ziyi Wang 2, Songyou

More information

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope

Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Nanostructure Fabrication Using Selective Growth on Nanosize Patterns Drawn by a Scanning Probe Microscope Kentaro Sasaki, Keiji Ueno and Atsushi Koma Department of Chemistry, The University of Tokyo,

More information

Simulated Study of Plasmonic Coupling in Noble Bimetallic Alloy Nanosphere Arrays

Simulated Study of Plasmonic Coupling in Noble Bimetallic Alloy Nanosphere Arrays CHAPTER 4 Simulated Study of Plasmonic Coupling in Noble Bimetallic Alloy Nanosphere Arrays 4.1 Introduction In Chapter 3, the noble bimetallic alloy nanosphere (BANS) of Ag 1-x Cu x at a particular composition

More information

Large-Area and Uniform Surface-Enhanced Raman. Saturation

Large-Area and Uniform Surface-Enhanced Raman. Saturation Supporting Information Large-Area and Uniform Surface-Enhanced Raman Spectroscopy Substrate Optimized by Enhancement Saturation Daejong Yang 1, Hyunjun Cho 2, Sukmo Koo 1, Sagar R. Vaidyanathan 2, Kelly

More information

Giant Gating Tunability of Optical Refractive Index in Transition Metal Dichalcogenide Monolayers

Giant Gating Tunability of Optical Refractive Index in Transition Metal Dichalcogenide Monolayers Supporting Information Giant Gating Tunability of Optical Refractive Index in Transition Metal Dichalcogenide Monolayers Yiling Yu 1,2, Yifei Yu 1, Lujun Huang 1, Haowei Peng 3, Liwei Xiong 1,4 and Linyou

More information

Supporting Information

Supporting Information Copyright WILEY-VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2018. Supporting Information for Small, DOI: 10.1002/smll.201801523 Ultrasensitive Surface-Enhanced Raman Spectroscopy Detection Based

More information

Organic Solar Cell: Optics in Smooth and Pyramidal Rough Surface

Organic Solar Cell: Optics in Smooth and Pyramidal Rough Surface IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 4 Ver. III (July Aug. 2015), PP 67-72 www.iosrjournals.org Organic Solar Cell: Optics

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Broadband IR polarizing beam splitter using a subwavelength-structured one-dimensional photonic-crystal layer embedded in a high-index prism

Broadband IR polarizing beam splitter using a subwavelength-structured one-dimensional photonic-crystal layer embedded in a high-index prism University of New Orleans ScholarWorks@UNO Electrical Engineering Faculty Publications Department of Electrical Engineering 9-10-2009 Broadband IR polarizing beam splitter using a subwavelength-structured

More information

Wafer-Scale Single-Domain-Like Graphene by. Defect-Selective Atomic Layer Deposition of

Wafer-Scale Single-Domain-Like Graphene by. Defect-Selective Atomic Layer Deposition of Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Wafer-Scale Single-Domain-Like Graphene by Defect-Selective Atomic Layer Deposition of Hexagonal

More information

Supporting Information

Supporting Information Copyright WILEY VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2015. Supporting Information for Adv. Mater., DOI: 10.1002/adma.201503122 High Charge-Carrier Mobility of 2.5 cm 2 V 1 s 1 from a Water-Borne

More information

UV Degradation and Recovery of Perovskite Solar Cells

UV Degradation and Recovery of Perovskite Solar Cells Supplementary Information UV Degradation and Recovery of Perovskite Solar Cells Sang-Won Lee 1, Seongtak Kim 1, Soohyun Bae 1, Kyungjin Cho 1, Taewon Chung 1, Laura E. Mundt 2, Seunghun Lee 1,2, Sungeun

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

Research Article Nanostructured Dielectric Layer for Ultrathin Crystalline Silicon Solar Cells

Research Article Nanostructured Dielectric Layer for Ultrathin Crystalline Silicon Solar Cells Hindawi International Photoenergy Volume 217, Article ID 715364, 6 pages https://doi.org/1.1155/217/715364 Research Article Nanostructured Dielectric Layer for Ultrathin Crystalline licon Solar Cells Yusi

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The oyal Society of Chemistry 017 Supporting Information Transparent, flexible, and stretchable WS based humidity sensors for electronic

More information

Practical 1P4 Energy Levels and Band Gaps

Practical 1P4 Energy Levels and Band Gaps Practical 1P4 Energy Levels and Band Gaps What you should learn from this practical Science This practical illustrates some of the points from the lecture course on Elementary Quantum Mechanics and Bonding

More information