SHEAR THICKENING AND DEFECT FORMATION IN CHEMICAL MECHANICAL POLISHING SLURRIES

Size: px
Start display at page:

Download "SHEAR THICKENING AND DEFECT FORMATION IN CHEMICAL MECHANICAL POLISHING SLURRIES"

Transcription

1 SHEAR THICKENING AND DEFECT FORMATION IN CHEMICAL MECHANICAL POLISHING SLURRIES by Nathan C. Crawford

2 c Copyright by Nathan C. Crawford, 2013 All Rights Reserved

3 A thesis submitted to the Faculty and the Board of Trustees of the Colorado School of Mines in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Chemical Engineering). Golden, Colorado Date Signed: Nathan C. Crawford Signed: Dr. Matthew W. Liberatore Thesis Advisor Signed: Dr. S. Kim R. Williams Thesis Advisor Golden, Colorado Date Signed: Dr. David W. M. Marr Professor and Head Department of Chemical and Biological Engineering ii

4 ABSTRACT Chemical mechanical polishing (CMP) is used by the semiconductor manufacturing industry to polish and planarize materials for the fabrication of microelectronic devices (e.g., computer chips). During the CMP process, the polishing slurry is exposed to shear rates 1,000,000 s 1. It is believed that under this extreme shear, individual slurry particles are driven together to form agglomerates which cause the slurry to shear thicken. These shearinduced agglomerates create defects such as scratches or gouges, costing the semiconductor industry billions of dollars annually. To study the shear thickening behavior of fumed silica CMP slurries (20-34 wt%) under high shear, we employed a conventional rotating rheometer with a parallel-plate geometry at small gaps (< 100 µm). The fumed silica slurries displayed discontinuous and irreversible thickening at shear rates > 10,000 s 1. The thickening response of the slurries can be tuned by adjusting silica concentration, adding monovalent chloride salts, and/or changing the stabilizing hydroxide base. Overall, the thickening shear rate decreases as silica concentration is increased and as the added salt concentration is increased from 0.02 to 0.15 M. Small-angle light scattering in conjunction with rheology at high shear rates was utilized to probe for shear-induced structure formation during shear thickening. The investigated 25 wt% fumed silica slurry with 0.17 M KCl displayed almost zero scattering in the absence of thickening. However, once discontinuous thickening is observed at 20,000 s 1, a distinct scattering pattern develops; indicating the formation of 2-3 µm sized structures. The scattering pattern persists as the shear rate is reduced and as the slurry s viscosity increases, suggesting the micron sized agglomerates endure throughout the shear rate reduction step. Using in situ polishing during rheological characterization, we examined the shear thickening behavior of a 25 wt% fumed silica slurry with 0.15 M KCl. Optical microscopy and non-contact profilometry linked slurry thickening behavior to scratching of a polished iii

5 wafer. The thickened slurry generated up to 7x more scratches than a non-thickened slurry. Both slurry thickening and surface scratching were associated with a population of large particles ( 300 nm), which were undetected in the non-thickened slurries. iv

6 TABLE OF CONTENTS ABSTRACT iii LIST OF FIGURES ix LIST OF TABLES xv LIST OF SYMBOLS xvi LIST OF ABBREVIATIONS xvii ACKNOWLEDGMENTS xviii DEDICATION xix CHAPTER 1 INTRODUCTION CHAPTER 2 BACKGROUND Chemical Mechanical Polishing High Shear Rheology Common Techniques and Geometries Parallel-Plate High Shear Rheology Chemical Mechanical Polishing Slurries Colloidal Stability of Silica CHAPTER 3 SHEAR THICKENING OF CORN STARCH SUSPENSIONS: DOES CONCENTRATION MATTER? Abstract Introduction Experimental Methods v

7 3.4 Steady Shear Experiments Rheological Comparison of Refined and Bulk Corn Starches Connecting Rheology with Corn Starch Pool Experiments Conclusions Acknowledgments CHAPTER 4 SHEAR THICKENING OF CHEMICAL MECHANICAL POLISHING SLURRIES UNDER HIGH SHEAR Abstract Introduction Experimental Methods CMP Slurry Shear Thickening Flow Instabilities Evaporation or Shear Thickening? Conclusions Acknowledgments CHAPTER 5 SHEAR THICKENING AND SHEAR-INDUCED AGGLOMERATION OF CHEMICAL MECHANICAL POLISHING SLURRIES USING ELECTROLYTES Abstract Introduction Experimental Methods Effect of ionic strength and cation adsorption dependence on zeta potential Adjusting thickening through the addition of KCl Ion specificity influences rheological behavior vi

8 5.7 Slurry base alters thickening behavior Discussion Conclusions Acknowledgments CHAPTER 6 INVESTIGATING SLURRY SHEAR THICKENING USING HIGH SHEAR RHEO-SALS Abstract Introduction Experimental Methods Rheo-SALS During Shear Thickening Connecting SALS Patterns to Particle Agglomeration Conclusions Acknowledgments CHAPTER 7 SLURRY RHEOLOGICAL CHARACTERIZATION WHILE POLISHING Abstract Introduction Experimental Methods Monitoring Viscosity During Polishing Characterization of Shear Thickening-Induced Scratches Connecting Surface Scratches to Particle Agglomeration Conclusions Acknowledgments CHAPTER 8 CONCLUSIONS AND RECOMMENDATIONS vii

9 8.1 Conclusions Recommendations REFERENCES CITED APPENDIX A - PARALLEL-PLATE GAP ERROR ANALYSIS APPENDIX B - ADDITIONAL RHEO-SALS DATA APPENDIX C - COPYRIGHT PERMISSIONS viii

10 LIST OF FIGURES Figure 2.1 Schematic of the CMP process, where Ω p and Ω c are the rotational speeds of the platen and wafer carrier, respectively. Adapted from Gokale and Moudgil [21] Figure 2.2 Top (a), angled (b), and side view (c) of a concentric ring patterned polyurethane polishing pad used for experimentation (this small disk was cut from a larger commercial pad using a water jet) Figure 2.3 Cross-section schematic of the damascene processing method for the sequential deposition of the: (a) dielectric, (b) diffusion barrier, and (c) interconnecting Cu layers. (d) Extra Cu must be removed via CMP in order to create a planarized surface for depositing the next layer of interconnects. Adapted from Matijevic and Babu [2] Figure 2.4 Schematic of a parallel-plate rheometer Figure 2.5 Depiction of the parallel-plate geometry with a zeroed gap (top) and a specified experimental gap height H (bottom). Here, ɛ is the gap error due to non-parallelism of the plates acquired during zeroing of the gap height Figure 2.6 Transmission electron microscope (TEM) image of fumed silica (provided by Cabot Microelectronics Corporation) Figure 2.7 Total interaction energy as predicted by DLVO theory versus particle separation distance between two hard spheres Figure 2.8 Interparticle hydroxo bridges between surface acidic hydrogens which are believed to cause coagulation in aqueous silica suspensions as proposed by Depasse [74] Figure 3.1 a) MythBusters walking on water YouTube video: MythBusters - Walking on water - Ninja part 5/5 ( and b) Corn starch monsters in YouTube video: Non-Newtonian fluid on a speaker cone ( 24 Figure 3.2 a) Microscope image of refined and b) bulk corn starch particles suspended in water. c) Particle size distribution of refined (red) and bulk (black) corn starches ix

11 Figure 3.3 Steady state shear rate ramp of corn starch and water suspensions ranging in concentration from 10 to 55 wt% Figure 3.4 Thickening shear stress as a function of corn starch concentration (wt%). The critical thickening shear stress was extracted from the viscosity minima along the steady state flow curves (Figure 3.3) Figure 3.5 Steady state shear rate ramp of the refined (red) and bulk (black) corn starches at 40 (triangles), 45 (circles), and 52.5 (squares) wt% Figure 3.6 Images of a 2.1 kg rock impacting the surface of corn starch slurries with concentrations of: a) 45 wt%, b) 50 wt%, c) 52.5 wt% at impact, and d) 52.5 wt% immediately after impact Figure 3.7 Steady state shear stress ramp of a) refined and b) bulk corn starch slurries at 40 wt% (black squares), 45 wt% (red triangles), and 52.5 wt% (blue circles). Filled symbols represent measured rheological data and open symbols are extrapolated data out beyond the calculated critical stress of 67,000 Pa. The vertical dashed line corresponds to the calculated applied stress from an average adult male while running (67,000 Pa) Figure 4.1 Transmission electron microscopy image of fumed silica (provided by Cabot Microelectronics Corporation) Figure 4.2 Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 25 wt% silica slurry (squares) with a concentration of added KCl, C KCl, of 0.12 M, DI water (circles), and a 0.5 M KCl solution (triangles). The critical thickening shear rate for the silica slurry is 54,000 s Figure 4.3 Steady state shear rate ramp (open circles) compared to individual peak hold experiments for 30 seconds (filled squares) at shear rates between ,000 s 1 for a 25 wt% silica slurry Figure 4.4 Thickening shear rate as a function of gap height for 34 (circles), 30 (squares), and 25 (triangles) wt% silica slurries. The 25 wt% slurry has an added KCl concentration of 0.17 M. The dashed lines correspond to the average critical thickening shear rate measured across the 30 to 150 µm window of gap heights Figure 4.5 Steady state shear rate ramp and reduction to varying shear rates just prior and up to the critical thickening shear rate ( 54,000 s 1 ) for a 25 wt% silica slurry (C KCl =0.12 M) x

12 Figure 4.6 a)peak hold for 10 minutes at 10,000 (open triangles), 15,000 (filled circles), 20,000 (open squares), 25,000 (filled triangles), 35,000 (open stars), and 55,000 (filled squares) s 1 for a model 25 wt% silica slurry (C KCl =0.12 M). γ c 54,000 s 1. b) Thickening time acquired from the peak hold experiments as a function of applied shear rate. Thickening time was determined as the time at which the slurry viscosity reached a value of Pa s. The dashed line represents a first order exponential decay fit Figure 4.7 Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 21 (squares), 25 (circles), and 29 (triangles) wt% fumed silica slurry (C KCl =0.12 M) Figure 4.8 Thickening shear rate (filled squares) and shear stress (open squares) as a function of silica weight fraction. All slurries were diluted from the same 29.5 wt% parent slurry with varying concentrations of KCl to an identical final concentration of added electrolyte (C KCl =0.12 M) Figure 4.9 Pre-thickening (filled circles) and post-thickening (open circles) viscosities as a function of silica weight fraction. The pre-thickening viscosity is the slurry viscosity just prior to the witnessed jump in viscosity and the post-thickening viscosity is the apparent viscosity (at the critical thickening shear rate) following the observed thickening of the slurry. The corresponding thickening shear rate and stress are shown in Figure Figure 5.1 Zeta potential of 25 wt% silica slurries as a function of added CsCl (blue circles), KCl (black squares), LiCl (green stars), and NaCl (red triangles) concentration Figure 5.2 Conductivity of 25 wt% silica slurries as a function of added CsCl (blue circles), KCl (black squares), LiCl (green stars), and NaCl (red triangles) concentration. The inset dashed rectangle indicates the range of conductivities (2-12 ms/cm) for commercial grade slurries Figure 5.3 Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 25 wt% silica slurry with a concentration of added KCl, C KCl, of 0.03 M (black squares), 0.09 M (blue triangles), and a 0.15 M (red circles) Figure 5.4 a)critical shear rate ( γ c ) as a function of added KCl concentration (C KCl ) for a 25 wt% silica slurry. The dashed line corresponds to a linear regression using a 95% confidence interval (the linear expression is displayed within the figure). b) Thickening shear stress as a function of added KCl concentration xi

13 Figure 5.5 Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 25 wt% silica slurry with 0.09 M added CsCl (blue circles), KCl (black squares), NaCl (red triangles), and LiCl (green stars). 74 Figure 5.6 Critical shear rate as a function of (a) added KCl (black squares), LiCl (green stars), NaCl (red triangles) and CsCl (blue circles) concentration and (b) measured zeta potential for a 25 wt% silica slurry. The dashed lines in (b) correspond to linear regressions using a 95% confidence interval (the linear expressions are displayed within the figure) Figure 5.7 Critical shear rate as a function of slurry base (symbol color) and added salt (symbol shape). The investigated 25 wt% silica slurries were ph adjusted with CsOH (blue), KOH (black) and NaOH (red) and contained added KCl (filled squares), LiCl (open stars), NaCl (filled triangles), and CsCl (open circles) Figure 5.8 Schematic of the adsorption of Cs +, K +, Na +, and Li + onto a hydrated silica surface. Small and readily hydrated counterions (Na + and Li + ) penetrate deeper into the hydration layer of oxides (such as silica) than larger, weakly hydrated cations (Cs + and K + ). This figure is an adaption of a graphic published in Colic et al. [181] Figure 6.1 Photograph (left) and schematic (right) of the modified TA Instruments SALS attachment used for shear rates >10,000 s 1. Schematic adapted from [198] Figure 6.2 Stepped shear rate ramp (filled symbols) and reduction (open symbols) for DI water (triangles) and a 25 wt% silica slurry with a concentration of added KCl, C KCl, of 0.17 M (squares). Letters (a) to (h) and (w) to (z) correspond to the locations of the reported SALS images in Figure 6.3 for the slurry and water samples, respectively Figure 6.3 Small-angle light scattering images as a function of increasing shear rate (a-d) and decreasing shear rate (e-f) for the 25 wt% silica slurry (C KCl =0.17 M). Background scattering patterns for the DI water sample are shown in images (w) to (z). The flow direction is from left to right in all images Figure 6.4 Full circular sum (φ from 0 to 360 ) of the radially averaged intensity (θ from 7.6 to 26.3 ) I sum as a function of shear rate for the 25 wt% slurry (C KCl =0.17 M). All data correspond to the SALS patterns presented in Figure xii

14 Figure 6.5 Characteristic length (a C ) and aspect ratio (a R ) calculated from the real-time SALS images shown in Figure 6.3 for the 25 wt% slurry with 0.17 M KCl. The horizontal dashed line refers to the nominal particle diameter of the fumed silica slurry determined via dynamic light scattering Figure 6.6 Particle size distribution for the 25 wt% slurry with no added salt (a) and 0.17 M KCl without (b) and with (c) thickening. The solid lines represent standard Gaussian fits, (a) and (b), and an Edgeworth-Cramer dual-peak function (c). Intensity average particle diameters are reported for their respective samples Figure 7.1 Rheo-polishing setup with TA Instruments disposable (a) Peltier plate fixture and (b) 40 mm upper plate and (c) 2 inch TEOS wafer, which is inserted into the disposable plate fixture to be polished. (d) Schematic of the rheo-polishing setup showing the cross-sectional view of the rheometer plate and TEOS wafer covered with fumed silica CMP slurry. 104 Figure 7.2 Steady state shear rate ramp (filled symbols) and reduction (open symbols) for (a) DI water (gray circles) and a 25 wt% silica slurry with no salt (black triangles) and (b) with an added KCl concentration of 0.15 M (red squares are the shear thickened sample (ST), while the blue squares are the non-shear thickened (NT) sample) Figure 7.3 Surface optical microscopy images of a 2 inch TEOS wafer polished with: (a) DI water and a 25 wt% silica slurry containing (b) no salt (NT), (c) 0.15 M KCl (NT), and (d) 0.15 M KCl (ST) Figure 7.4 Interferometer surface scans of a 2 inch TEOS wafer polished with a 25 wt% silica slurry containing (a) no salt (NT), (b) 0.15 M added KCl (NT), and (c) 0.15 M added KCl (ST). The interferometer images are 350 µm by 260 µm, width by height, respectively. (d) Cross-sectional view in the y-z plane of the vertical slice from image (c) indicated by the red line in image (c). (e) Normalized number of surface scratches per image examined with the profilometer for the three different polishing cases (NT with and without added KCl and with observed ST). The displayed p-values are from a two sample t-test comparing the three polishing cases with one another Figure 7.5 Cross-sectional view of a problem particle with radius r, creating a scratch of depth d, and width w, on the surface of a TEOS wafer xiii

15 Figure 7.6 Particle size distribution for the (a) no salt (NT), (b) 0.15 M KCl (NT) and (c) 0.15 M KCl (ST)cases. The solid lines represent standard Gaussian fits, (a) and (b), and an Edgeworth-Cramer dual-peak function (c). Intensity average particle diameters are reported for their respective samples Figure 8.1 Cross-sectional view of a parallel-plate setup with a traditional stiff boundary (left), semi-continuous boundary with oil or water along the outer edge of the plates (center), and continuous boundary where the interrogated sample extends well beyond the edge of the rheometer tooling (right) Figure 8.2 Transmission electron microscope (TEM) image of fumed silica (diluted 1:10 8 by volume in isopropanol before drying on the TEM grid) from a 25 wt% (C KCl =0.15 M) shear thickened slurry. The circles indicate spherical primary particle sized structures that were found exclusively in the shear thickened slurry. These spherical particles were not observed in the non-sheared and sheared without thickening samples Figure A.1 Normalized viscosity (η a /η 0 ) for DI water (circles), viscosity standard N10 (triangles), and viscosity standard N350 (squares) as a function of measurement gap height at an applied shear rate of 1,000 s Figure A.2 Inverse viscosity (1/η a ) versus inverse rheometer gap spacing (1/H) for DI water (circles), viscosity standard N10 (triangles), and viscosity standard N350 (squares). The lines represent linear fits to the measured data (R 2 values provided on plot) used for calculating true sample viscosities η true and experimental gap error ɛ Figure A.3 Normalized viscosity (η a /η 0 ) for DI water as a function of measurement gap height at an applied shear rate of 1,000 (circles), 10,000 (triangles), and 100,000 s 1 (squares) Figure B.1 Schematic depicting the scattering vector q, scattering angle θ, integration angle φ, and resulting scattering pattern Figure B.2 Intensity (I 0.5 ) as a function of scattering vector (q 2 ) using the Debye-Bueche method. The slope and intercept of the line is used to derive the characteristic length (a C ) as discussed in Chapter Figure B.3 Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 25 wt% fumed silica slurry with no added KCl (triangles) and with 0.17 M KCl (squares represent the shear thickened sample, while the circles are the non-thickened sample). The corresponding DLS analysis of the samples presented here can be found in Figure xiv

16 LIST OF TABLES Table 1.1 Applied shear rates during CMP slurry processing [10] Table 3.1 Estimated viscosities for corn starch slurries at an applied shear stress of 67,000 Pa Table 4.1 Calculation of the critical shear rate ( γ c ) for the onset of radial migration as a function of gap height (H) Table 5.1 Ionic radii of unhydrated and hydrated cations [171] Table 7.1 Scratch counts and dimensions Table 7.2 Number average (a NA ) and intensity average (a IA ) particle diameters, and polydispersity idices (PDI) measured using DLS Table 7.3 Extrapolated Gaussian fits from the DLS data in Figure Table A.1 Summary of the gap error analysis results for DI water and viscosity standards N10 and N350 at 25 C xv

17 LIST OF SYMBOLS Density ρ Measured torque M Particle radius a Rheometer gap height H Rheometer plate radius R Rotational speed Ω Salt concentration C Shear rate γ Shear stress τ Surface tension Γ Viscosity η xvi

18 LIST OF ABBREVIATIONS Chemical Mechanical Polishing CMP Cabot Microelectronics Corporation CMC Isoelectric Point IEP Derjaguin-Landau-Verwey-Overbeek theory DLVO Dynamic Light Scattering DLS Small-Angle Light Scattering SALS Transmission Electron Microscopy TEM xvii

19 ACKNOWLEDGMENTS Above all, I would like to thank my advisor Dr. Matthew Liberatore- without all of your hard work, mentoring, and patience this thesis would not have been achievable. Thank you to my co-advisor Dr. Kim R. Williams for your support, encouragement, and long discussions on the balance of life; our consultations had a deeper impact than you might expect. In addition, I would like to thank Dr. David Boldridge who advised from afar- although our interactions were limited, I found your insight to be invaluable. Thank you to my parents, David and Judy, without your love, support, and dog sitting none of this would have been possible. When life got busy, you stepped in and helped me take care of the little things. I cannot thank you enough for the reprieve you provided. A special thanks to Gina - you are my world. You provided me with sanity when times got rough and made me smile when no one else could. You never stopped believing in me, even when everyone else had lost hope. For that, I will forever be grateful and will spend the rest of my life making it up to you. Thank you to my best friends Todd, Johnny, and Kevin who provided constant encouragement and much needed comic relief. Acknowledgment is given to the National Science Foundation (CBET ) for providing the funding for this research. Also, I gratefully acknowledge Cabot Microelectronics Corporation for supplying the slurries and for allowing us to share our findings. xviii

20 In loving memory of my grandparents, Charles Royer C. Roy and Jeannette Cook. xix

21 CHAPTER 1 INTRODUCTION To meet the ever growing demand for more sophisticated electronic devices, the semiconductor industry must continually reduce feature sizes in order to produce faster and more powerful microprocessors [1]. This perpetual evolution in computing density is known as Moore s Law which predicts the number of transistors on an integrated circuit to double every two years. Today s most advanced silicon chips contain over one billion transistors in one square centimeter of surface area [2, 3]. Interconnecting such a dense population of electrical elements requires multiple layers of wiring; over eight metal layers can be found in the most recent generation of logic devices [2]. To ensure the interconnection of these multilevel structures, each layer needs to be planarized with nanometer-type precision. Currently, chemical mechanical polishing (CMP) is the only technique that can handle such a demanding task. CMP was invented in the early 1980 s in an attempt to generate highly planar surfaces on silicon dioxide wafers to allow for precise photolithography without significant distortion during imaging [4]. Today, CMP has become the primary technique of the semiconductor processing industry for the local and global planarization of integrated circuits [5]. The removal of material is achieved through a synergistic combination of chemical and mechanical attributes of the polishing slurry and the interplay at the polishing pad-wafer interface(hence the name chemical mechanical polishing ) [2, 6 8]. The overall goal of CMP technology is to uniformly flatten the topography of a semiconducting material, while leaving it free of defects such as scratches, gouges, pits, and corrosion. During the CMP process, aqueous slurry is dispensed onto a high speed polymeric polishing pad, which rotates at velocities upwards of 100 rpm. A dielectric wafer is positioned above the polishing pad and pressed into near contact at a given downward loading force. 1

22 The colloidal slurry is then sandwiched into a thin film (1-100 µm) between the two disks that rotate in unison. The combination of the orthogonal force from the wafer, abrasive nature of the slurry, and the grinding action of the polishing pad leads to synergistic mechanical removal of material. The active chemicals in the slurry enhance removal rates and improve selectivity between different surface materials [9]. Under this extreme environment, the slurry will experience shear rates in excess of 1,000,000 s 1 [10]. The shear rates induced by CMP are 2 to 3 orders of magnitude greater than any other shear rate that the slurry will be exposed to during its lifetime (Table 1.1). Due to the challenge of conducting measurements at such shear rates, there is little to no published data on the high shear rheological behavior of CMP slurries. Table 1.1: Applied shear rates during CMP slurry processing [10]. Processing Step Shear Rate Range (s 1 ) Settling <0.1 Pumping Filtering Mixing 50-2,000 CMP >100,000 The working hypothesis is that during the high speed polishing process, individual slurry particles are driven together to form large agglomerates ( 0.5 µm). These shear-induced agglomerates are believed to trigger a spike in the slurry s viscosity known as shear thickening [11 14]. Shear-induced particle agglomeration (i.e., shear thickening) has been linked to defects such as scratches or gouges on the surface of semiconductor wafers during CMP [1,15 18]. The total market for integrated circuits in 2013 is estimated to reach $300 billion [19]. One of the key success factors for integrated circuit manufacturing is a high functional yield, defined as the proportion of fully functional chips at the end of the production process [20]. The actual functional yield of a semiconductor manufacturer is a closely guarded trade secret, but a reasonable estimate is on the order 80-85% for a well established manufacturing 2

23 facility. Approximately 10-15% of failed silicon-based chips can be linked to CMP-induced defects. The direct cost of these defects is approximately $4.5-$9 billion of lost production annually for the semiconductor industry. Therefore, a collaborative effort between Colorado School of Mines (CSM) and Cabot Microelectronics Corporation (CMC) seeks to obtain a detailed understanding of the high shear rheological response of CMP slurries. Gaining insight into the changes to slurry particle size and structure during simulated polishing conditions, will be crucial to identifying the origin of CMP-induced defects. We will test the hypothesis that shear thickening of CMP slurries leads to defect formation during polishing using these objectives: 1. Investigate the shear thickening behavior of fumed silica CMP slurries under process relevant shear rates (>10,000 s 1 ). 2. Correlate the shear thickening response of CMP slurries to changes in particle size, both temporary and permanent, by utilizing in situ small-angle light scattering with rheological characterization (rheo-sals) and complementary sizing techniques (DLS, TEM, etc.). 3. Connect changes in slurry viscosity (i.e., shear thickening) and particle size to polishing conditions that create surface defects on dielectric wafer surfaces. 3

24 CHAPTER 2 BACKGROUND The following materials and techniques will be employed to study the high shear rheological response of chemical mechanical polishing slurries and to examine changes to the slurries microstructure (before, during, and after shearing). The following chapter supplies background information on chemical mechanical polishing (CMP), high shear rheological characterization, experimental CMP slurries, and silica colloidal stability. 2.1 Chemical Mechanical Polishing In CMP literature the terms planarization and polishing are commonly used interchangeably. The synonymous usage of these terms is incorrect and planarization should be differentiated from polishing. The discrimination of these terms is not crucial to the research presented here, however, we would like to distinguish them upfront for consistency and reader clarity. Planarization is the leveling of a patterned, potentially heterogeneous surface; while the general thinning of an unpatterned, planar film is deemed polishing [2]. During the CMP process, slurry is introduced onto a relatively rough polymer polishing pad, commonly blown polyurethane, that consists of an open macroscopic porous structure (Figure 2.1) [21]. The pad can be up to 3 feet in diameter and rotates at speeds near 100 rpm ( 4 m/s at the outer radius of the polishing pad). Slurry transport is aided by patterned grooves (x-y grid or concentric rings; Figure 2.2) along the surface of the pad [9]. The polishing pad s grooving, porosity, surface morphology, etc. play key roles in the effectiveness and precision of the CMP process. The true cost of the polishing pad, including the initial capital cost of the pad, the labor cost to mount the pad, and the down-time during the mounting process, all add to the cost of the final polished product. Thus, it is advantageous to prolong the polishing lifetime of a pad. The main reason for pad degradation is referred to as glazing where abrasive particles from the polishing slurry and polishing debris become 4

25 embedded into the pores of the polishing pad [22]. To prevent reductions in material removal rates and defect formation from glazing, the pad is conditioned before and during the CMP process. Conditioning is typically achieved through a grinding process by a rotating diamond plated wheel that is positioned on top of the pad [4]. The coarse surface of the conditioner continuously removes layers of the polishing pad, perpetually exposing fresh pad surface. Pad conditioning not only prolongs the lifetime of the polishing pad, but also increases the duration of peak removal rate and product throughput. Figure 2.1: Schematic of the CMP process, where Ω p and Ω c are the rotational speeds of the platen and wafer carrier, respectively. Adapted from Gokale and Moudgil [21]. Figure 2.2: Top (a), angled (b), and side view (c) of a concentric ring patterned polyurethane polishing pad used for experimentation (this small disk was cut from a larger commercial pad using a water jet). 5

26 Once the polishing pad is conditioned and fully saturated with slurry, a semiconductor wafer (commonly a silicon substrate) is mounted in a carrier and pressed face down into near contact with the pad at a set pressure ( 2 to 6 psi [23]). The wafer carrier and pad are typically rotated in the same direction. When the rotational speed of the carrier and polishing platen are equal, the relative velocity (with respect to the polishing pad) at every point on the the wafer is the same, promoting uniform material removal across the entire wafer surface [24]. The polishing slurry is then conveyed into a thin film ( µm) between the pad and wafer surface. Abrasive particles from the slurry adhere to the pad s raised texturing and transfer energy from the pad to the wafer. The removal of material is achieved through a synergistic combination of chemical and mechanical attributes within the slurry (hence the name of chemical mechanical polishing ) and their interplay at the polishing pad-wafer interface [2, 6 8]. CMP slurries contain various abrasives and chemical reagents depending on the material being planarized. Silica, alumina, and ceria particles with diameters between 50 to 200 nm are typically used as abrasives in CMP slurries (a more detailed discussion on CMP slurries can be found in Section 2.3) [5,6,8,25,26]. Throughout the planarization process, heterogeneous layers containing insulating dielectric materials and conducting metallic materials are continually exposed. The dielectric films are commonly comprised of silicon dioxide, silicon nitride, polysilicon, or a more novel lower dielectric constant material, such as methyl silsesquioxane (MSQ) [5, 8, 25, 26]. The conductive films, which serve as the interconnect wiring, are typically made from copper (Cu). Dielectric and metallic materials have vastly different mechanical and chemical properties and as a result, require their own CMP processing step with a unique set of CMP variables (i.e., slurry composition, down force, polishing speed, etc.). Therefore, the dielectric and metallic layers are added in a multi-stage procedure known as damascene or dual damascene (both involve multiple CMP steps) [5, 8, 25, 26]. 6

27 The damascene process starts with the deposition of a dielectric insulating film (usually silicon dioxide) onto a silicon substrate (Figure 2.3a). The freshly deposited dielectric film, is then etched using optical lithography to create channels for the eventual deposition of metallic interconnects. Since copper adheres poorly to these dielectric films and tends to diffuse through them, a thin layer of tantalum (Ta) or tantalum nitride (TaN) is deposited first to act as a diffusion barrier and to promote adhesion (Figure 2.3b). A layer of Cu is then deposited, usually by an electroplating method. However, the deposition of Cu cannot be fully restricted to the etched trenches within the dielectric layer and consequently, the top surface of the dielectric asperities are covered by metal (Figure 2.3c). The additional Cu must be removed in order to prevent undesired electrical connections and/or shorts between Cu lines and to generate a relatively flat surface for depositing the next layer of interconnects (Figure 2.3d). The removal of excess material occurs on the nanoscale, while silicon devices are currently built on 300 mm (12 inches) diameter wafers; thus, the homogeneity of the planarization process has to be maintained over 8 orders of magnitude in length scale [2]. CMP is the only existing technique that can remove the layers of unwanted material, while leaving the surface completely planarized [5, 8, 25, 26]. The most recent generation of advanced silicon-based microelectronic devices contain over one billion transistors in one square centimeter of surface area [2,3]. Connecting such a large number of electrical features in a limited amount of space is a very complex task. As a result, wiring must be laid out in a 3-dimensional, multilayer structure. Currently, 8 or more metal layers are required for the most recent generation of logic devices. The damascene procedure must be repeated for every additional metal wiring layer [27] and each level of interconnects involves a minimum of two CMP steps (one metallic CMP step and one dielectric CMP step). As the semiconductor industry moves towards smaller feature sizes and to more layers of wiring (thus, more CMP steps), CMP surface defects, such as scratches, gouges, pits, and corrosion, will need to be reduced. Even mild surface defects on a microelectronic device can greatly diminish device performance [28], while more catastrophic defects, like the severing 7

28 of a wire line or fracture of a dielectric layer, can lead to complete device failure [3]. As a result, immense strain has been placed on the semiconductor manufacturing industry and more specifically, the CMP process. Figure 2.3: Cross-section schematic of the damascene processing method for the sequential deposition of the: (a) dielectric, (b) diffusion barrier, and (c) interconnecting Cu layers. (d) Extra Cu must be removed via CMP in order to create a planarized surface for depositing the next layer of interconnects. Adapted from Matijevic and Babu [2]. 2.2 High Shear Rheology Rheology is the study of the flow and deformation of matter. Rheological studies allow for the measurement of valuable material properties such as viscosity, yield stress, and viscoelastic responses (to name a few). In order to mimic the high shear environment of a typical CMP event, shear rates greater than 10,000 s 1 must be investigated. Here, a general overview of high shear rheological techniques and their inherent limitations are presented. 8

29 2.2.1 Common Techniques and Geometries To access high shear rates, researchers have proposed using cylindrical Couette flow with small gaps[29], torsional flow between parallel-plates[30 34], flow through capillaries[35 37] and slits [38 40], and impact flow between a rotating ball and plate [41]. Due to their reliability and simplicity, capillary rheometers are most commonly employed for measuring high shear rates. Capillary rheometers are pressure driven (described as Poiseuille flow) and require forcing a sample through a small opening ( 500 µm) in a cylindrical channel. Fluid viscosity is then related to the time required to traverse a given length of the capillary. Highly viscous samples move slowly, while low viscosity samples move relatively fast within the channel. Flow in a capillary tube is unidirectional and can be envisioned as a collapsible telescope, where cylindrical surfaces slide past one another [42]. Although capillary rheometers are a convenient tool for measuring high shear rates, they have many inherent limitations: 1. Caking or coating of particles along instrument walls disrupts measurement integrity 2. Long residence times are required to avoid non-viscometric flow effects at the entrance and exit of the capillary 3. Viscous heating along the channel walls creates a thermal boundary layer that obstructs flow [35] 4. The reversibility of shear-induced changes to material properties cannot be examined 5. The centripetal motion of the polishing process is not closely imitated. As a result, capillary rheometry was not used in this study Parallel-Plate High Shear Rheology A conventional rotating rheometer with a parallel-plate attachment is another common technique used for measuring high shear rates [33, 34, 43, 44]. Parallel-plate rheometry is the 9

30 preferred high shear technique when working with small sample quantities or with materials that would be susceptible to the severe conditions at the inlet or walls of the capillary channel. In the parallel-plate geometry (Figure 2.4), the upper plate is rotated at a constant angular velocity Ω and the bottom plate is stationary, creating a velocity gradient through the thickness of the sample. If we assume: 1. Steady, laminar, incompressible, isothermal flow 2. v θ (r,z) only; v r =v z =0 3. Negligible body forces 4. Cylindrical liquid boundary then the equation of motion can be reduced to 2 v θ / z 2 =0 [42,45]. Integrating the reduced equation of motion twice with respect to z, yields a linear velocity profile v θ = A(r)z +B(r), (2.1) where A(r) and B(r) are unknown functions of plate radius r. Applying no slip boundary conditions to Equation 2.1, v θ =0 at z=0 and v θ =rω at z=h (where H is the gap between the parallel disks), leads to an expression for v θ in terms of known parameters v θ = zωr H. (2.2) The rate-of-deformation, also known as the shear rate ( γ), is defined as the velocity gradient in the axial direction z ( v θ / z). At the plate rim, where r=r, the linear velocity is the greatest, and the assumption of unidirectional flow is best, the shear rate ( γ R ) is defined as γ R = ΩR H. (2.3) Newton s law of viscosity states that viscosity (η) is equal to the quotient of the shear stress (τ zθ )andtheshearrate( γ). Thus, anexpressionforτ zθ inexperimentallyaccessiblevariables must be derived. 10

31 Figure 2.4: Schematic of a parallel-plate rheometer. In a conventional rotating rheometer, the total measured torque (M) required to turn the upper plate is related to the shear stress using a torque balance M = A (stress)(leverarm)(da) = R 0 ( τ zθ z=h )(r)(2πrdr) (2.4) Now, to eliminate the integral, both sides of Equation 2.4 can be rearranged and differentiated using the Leibnitz rule: τ zθ = M [ 2πR 3+ d ln(m) ] 3 d ln( γ R ) For a Newtonian liquid, d ln(m)/d ln( γ R ) = 1.0 and the shear stress becomes (2.5) τ zθ = τ a = 2M πr 3 (2.6) where τ a is the apparent shear stress. Inserting the equations for τ a and γ R into Newton s law allows for the calculation of a fluid s viscosity from a single torque measurement 11

32 η = 2MH πr 4 Ω. (2.7) The parallel-plate geometry is a simple and useful tool for obtaining viscosity and normal stress data at high shear rates (>10,000 s 1 ). As Equation 2.3 implies, the shear rate can be increased by either raising the rotational speed Ω or by decreasing the gap height H. However, due to frictional and mechanical limitations of the rheometer s motor, there is a distinct threshold to how fast the instrument can revolve. Therefore, operating at small gap heights allows for larger shear rates to be accessed while working at lower, more manageable rotational speeds. Numerous studies have tested the applicability of parallel-plate fixtures for high shear rate rheometry [30,31,33,34,43,44,46,47]. However, the presence of flow disturbances such as viscous heating, surface fracture, and radial migration, can hinder the validity of high shear measurements at small gaps [30]. When using the parallel-plate geometry at small gap spacings, it is crucial to separate true rheological responses from potential shear-induced disturbances. However, the main source of error when using a commercial rotating rheometer at finite gap heights stems from the mounting precision of the top and bottom plates. The problem begins prior to experimentation, when the rheometer sets the zero point between the two plates. The zero point is central to measurement accuracy because the rheometer determines the desired experimental gap height based on the apparent zero position. To zero the gap, the top plate is lowered until any point of the top disk contacts the bottom stationary plate. Contact between the two plates is typically verified through a normal force response. The contacting of the two plates is referred to as zeroing the gap. If the top and bottom plates are misaligned when the gap is considered zeroed (Figure 2.5), there will be a difference between the actual and perceived gap heights. The error in gap spacing due to non-parallelism of the rheometer plates is termed gap error and is denoted as ɛ. For large gap heights (H 1000 µm), H >>ɛ and the alignment error is negligible (smaller than experimental error). Conversely, at small gap spacings where H is on the same order as ɛ, 12

33 this non-parallelism becomes significant and can no longer be ignored. Figure 2.5: Depiction of the parallel-plate geometry with a zeroed gap(top) and a specified experimental gap height H (bottom). Here, ɛ is the gap error due to non-parallelism of the plates acquired during zeroing of the gap height. In 1985 Connelly and Greener [30] developed a method for assessing the gap error in a parallel-plate rheological system. In 2008, Pipe et al. [44] modified this procedure and were able to demonstrate with well-defined fluids that plate misalignment in a parallelplate geometry can cause up to 50% measurement error for gap spacings <100 µm. We employed the method outlined by Pipe et al. to quantify the measurement error due to plate misalignment in our parallel-plate setup. The full gap error analysis can be found in Appendix A. Fortunately, the error due to the non-parallelism of a parallel-plate geometry is systematic in nature and does not disrupt the rheological response of the material being interrogated. As a result, apparent data can be adjusted in order to report true values of fluid properties. Using a parallel-plate rheometer at small gap heights is a trade off between accessing high shear rates and gap error from plate misalignment, which becomes significant at small gap heights. 13

34 2.3 Chemical Mechanical Polishing Slurries Great emphasis has been placed on reducing the size of microelectronic devices in order to produce faster and more powerful microprocessors[1]. With the rapid reduction of individual semiconductor feature size, enormous demands have been placed on the performance of the CMP slurry, making slurry formulation one of the most important aspects of the CMP concept. The overall goal of slurry design is to create a suspension that supplies consistent, yet rapid material removal without producing significant surface defects, such as scratches, gouges, pits, and corrosion. Typically, a commercial CMP slurry is comprised of a suspending liquid (usually water), colloidal abrasive, and active chemicals that enhance material removal and particle stability. Alumina, ceria, andsilicaparticleswithdiametersontheorderof50to200nmarecommonly used as abrasives [5,6,8,25,26]; with silica being the most widely employed material due to its application in both dielectric and metallic CMP [6, 48 51]. The slurry particles are then stabilized either sterically through the use of polymeric agents [52] and surfactants [53] or electrostatically through ph and ionic strength adjustments [2]. Exact slurry chemistry depends heavily on the material being planarized and the specific function of the slurry (i.e., bulk material removal versus back-end finish polishing). The planarization of dielectric films such as silica (SiO 2 ), fluorinated silica (FSG), or poly-silicon, is mostly mechanical in nature and as a result, slurries used for dielectric CMP are relatively simplistic. Dielectric slurries usually contain high concentrations ( 10 wt%) of silica particles at alkaline ph values (10-11), adjusted using potassium hydroxide (KOH) [9]. The increased ph not only promotes a highly negative surface charge on the silica particles, but also leads to a significant amount of dissolved silica within the slurry. These soluble silicates are believed to provide electrosteric stabilization to the silica particles. In additional to silica particles and KOH, these dielectric polishing slurries commonly possess a biocide, such as isothiazolin or sodium azide, to prevent the growth of bacteria during storage. These dielectric polishing slurries typically provide removal rates on the order of 2500 Å/min [9]. 14

35 Slurries used for copper CMP, on the other hand, contain less abrasives ( 3 wt%) and are kept at slightly acidic ph values (4-6) [9]. These copper CMP slurries typically posses H 2 O 2 as an oxidizer, an amino acid (such as glycine) acting as a chelating or complexing agent, an inhibitor to passivate the film and control dissolution, a surfactant, and a ph controlling agent [5, 6, 6, 8, 25 27, 50, 51]. The removal rates for copper polishing slurries can be adjusted between 500 to 6000 Å/min by tuning the oxidizer, complexant, and inhibitor concentrations [9]. Unlike dielectric CMP slurries, copper polishing slurries use more of a balance between chemical and mechanical attributes for material removal. Figure 2.6: Transmission electron microscope (TEM) image of fumed silica (provided by Cabot Microelectronics Corporation). For this study, we will examine concentrated ( wt%) fumed silica CMP slurries provided by Cabot Microelectronics Corporation (CMC). Fumed silica (ρ=2.0 g/cm 3 ) is formed through the oxidation of silicon tetrachloride (SiCl 4 ) under a high temperature flame (>1,000 C) to produce small, spherical primary particles between 5 to 50 nm [54]. The temperature zone where primary particles are formed reaches close to the fusion point of silica ( 1710 C). As these primary particles move outside of this intense temperature zone, they cool below the fusion point to form rigid, covalently bonded aggregates around nm in size [55]. Fumed silica aggregates have a completely random shape distribution and 15

36 are highly anisotropic (Figure 2.6). The average diameter of the fumed silica employed in this study (determined using dynamic light scattering) is 160±11 nm, with a polydispersity index of <0.1. These aggregates are dispersed in an aqueous solution and electrostatically stabilized at ph values between (well beyond silica s isoelectric point of ph 2) through the addition of a hydroxide base. 2.4 Colloidal Stability of Silica The influence of silica surface chemistry on the stability of aqueous colloidal silica dispersions, silica sols, has been extensively studied; however, many aspects are inadequately understood [56, 57]. In general, colloidal suspension stability is depicted by the Derjaguin- Landau-Verwey-Overbeek (DLVO) theory, which describes the total interaction energy between two colloidal particles as the sum of attractive (F A ) and repulsive (F R ) forces (Figure 2.7) [58,59]: F DLVO = F A +F R. (2.8) In aqueous dispersions, the attractive forces are represented as long-range van der Waals interactions caused by induced dipoles. While electrostatic interactions are the dominant repulsive force in aqueous solutions. For two spherical particles with equal charge, the van der Waals interaction can be described as follows [58, 59]: F A = A 131a 12h, (2.9) where A 131 is the Hamaker constant for a solid (silica) suspended in a liquid medium (water), a is the particle radius, and h denotes the separation distance between particles. The electrostatic repulsive force is defined as [58, 59]: F R = 32πaɛ r ɛ 0 ( k BT ez )2 tanh( zeψ s 4k B T )2 exp( κh). (2.10) Here, ɛ 0 and ɛ r are the permittivity of vacuum and the relative dielectric constant of the medium, respectively. k B is Boltzmann s constant, T is the absolute temperature, e is elementary electron charge, and z is the ion valency. The term ψ s refers to the surface 16

37 potential (commonly approximated as the zeta potential) and κ is the reciprocal Debye layer thickness: ( ) e 2 z 2 1/2 N A C κ =. (2.11) ɛ r ɛ 0 k B T N A is Avagadro s number and C is the salt concentration within the suspension expressed in mol/l. From the above expressions, it can be seen that colloidal stability is highly dependent on particle separation distance (h), which is influenced by the solution s salinity (C) and ph (among others). Under the influence of salts, the Debye length is reduced and the particles interaction goes from repulsive to attractive, ultimately leading to irreversible aggregation [60]. Figure 2.7: Total interaction energy as predicted by DLVO theory versus particle separation distance between two hard spheres. Although the DLVO theory has become the foundation of colloidal stability, it has some inherent limitations and has failed to adequately represent certain experimental results [56, 61 69]. For lyophilic (solvent loving ) colloids like silica, the DLVO theory inadequately describes their stability, especially at high electrolyte concentrations and short separation distances [56, 61, 62, 67, 70]. Silica is reported to have an unusually enhanced stability near 17

38 its isoelectric point ph (ph IEP ) of 2, where particles have a neutralized net surface charge and should be in an attractive state. The increased stability of silica at its IEP continues even under the presence of high concentrations of chloride ions, indicating the existence of an additional close-range stabilizing force not predicted by DLVO theory. Conversely, at elevated ph values where strong surface charges have been reported, silica is more susceptible to flocculation [61, 62, 66]. Debate continues over the anomalous short-range repulsion behavior of silica near its ph IEP. Many believe that silica s abnormal stability is most likely due to either a repulsive hydration layer [61,64,65,68,69,71] or a close-range steric repulsion [63, 66, 71 73] caused by gel layers consisting of silicic acid chains. In an aqueous environment, the surface of silica is covered in associated (SiOH) and dissociated (SiO ) surface silanol groups. At ph 2 (the isoelectric point) silica has an equal amount of SiOH and SiO surface sites, resulting in a neutral net surface charge. Aqueous silica suspensions are believed to coagulate through the process known as hydroxo interparticle bridging (Figure 2.8), where acidic surface silanol groups (SiOH) are linked together by adjacent hydroxide ions (OH ). In order for such bridges to develop, two criteria must be satisfied [74]: 1. The OH concentration must be abundant (i.e., silica coagulation cannot occur at low ph) 2. The surface of the silica particles must be covered with acidic hydrogens. Under highly alkaline conditions (ph >10; the ph range explored in this work), silica s surface undergoes a deprotonation reaction (SiOH + OH SiO + H 2 O), resulting in an almost completely disassociated surface (SiO ) [68,75]. The deprotonation of the surface silanols leads to a negative net surface charge. Silica particles are resistant to coagulation at high ph values due to strong charge-charge interactions (i.e., electrostatic repulsions) and due to the lack of hydrogens directly bound to silica s surface, which are needed to facilitate interparticle bridging. 18

39 Figure 2.8: Interparticle hydroxo bridges between surface acidic hydrogens which are believed to cause coagulation in aqueous silica suspensions as proposed by Depasse [74]. However, at high ph (>10) and in the presence of salt, silica s surface will be entirely covered with hydrated cations [76, 77]. The layers of hydration that surround the adsorbed cations can act as binding vehicles for the deprotonated surface silanols (SiO ) [74,78]. Water molecules surrounding the adsorbed counterions are displaced by the dissociated silanols and an irreversible bridge is created between two silica particles [68, 70, 74]. The addition of salt to the slurry matrix can then lead to both charge screening between particles, reducing electrostatic repulsions, and irreversible particle agglomeration through cation enhanced bridging (commonly referred to as shear-induced bridging in polymer systems [79 82]). 19

40 CHAPTER 3 SHEAR THICKENING OF CORN STARCH SUSPENSIONS: DOES CONCENTRATION MATTER? 3.1 Abstract This chapter is modified from a paper published in The Journal of Colloid and Interface Science 1 N. C. Crawford 2, L. B. Popp 3, K. E. Johns 3, L. M. Caire 4, B. N. Peterson 4, and M. W. Liberatore 5 Suspensions of corn starch and water are the most common example of a shear thickening system. Investigations into the non-newtonian flow behavior of corn starch slurries have ranged from simplistic elementary school demonstrations to in-depth rheological examinations that use corn starch to further elucidate the mechanisms that drive shear thickening. Here, we determine how much corn starch is required for the average person to walk on water (or in thiscase, runacrossapool filledwith cornstarch andwater). Steadyshear rate rheological measurements were employed to monitor the thickening of corn starch slurries at concentrations ranging from 0 to 55 wt% (0 to 44 vol%). The steady state shear rate ramp experiments revealed a transition from continuous to discontinuous thickening behavior that exists at 52.5 wt%. The rheological data was then compared to macroscopic ( 5 gallon) pool experiments, in which thickening behavior was tested by dropping a 2.1 kg rock onto the suspension surface. Impact-induced thickening in the rock drop study was not observed until the corn starch concentration reached at least 50 wt%. At 52.5 wt%, the corn starch slurry displayed true solid-like behavior and the falling rock bounced as it impacted the 1 Reprinted with permission of Journal of Colloid and Interface Science, 2013, 396: Primary author and researcher 3 Undergraduate researcher 4 Local high school science teacher 5 Author for correspondence 20

41 surface. The corn starch pool studies were fortified by steady state stress ramps which were extrapolated out to a critical stress value of 67,000 Pa (i.e., the force generated by an 80 kg adult while running). Only the suspensions containing at least 52.5 wt% (42 vol%) thickened to high enough viscosities ( Pa s) that could reasonably be believed to support the impact of a man s foot while running. Therefore, we conclude that at least 52.5 wt% corn starch is required to induce strong enough thickening behavior to safely allow the average person to walk on water. 3.2 Introduction Before we examine the unconventional shear thickening behavior of fumed silica CMP slurries under high shear rates (>10,000 s 1 ), we will investigate the thickening response of a classical shear thickening model, corn starch and water. The shear thickening of aqueous corn starch suspensions occurs under more moderate applied shear rates (0.01 to 1,000 s 1 ) and closely imitates current shear thickening theory. The rheological behavior of corn starch and water suspensions is a popular topic found in many scientific domains: polymers, food science and technology, ceramics, cosmetics, ballistics, and others [83,84]. Aqueous slurries of corn starch, affectionately named oobleck after a children s story by Dr. Seuss, transition from fluid-like to solid-like behavior under high shear forces. This transition, typically denoted by an abrupt increase in viscosity, is termed shear thickening (or dilatancy). Shear thickening fluids have been exploited for commercial use in shock absorbing and force damping applications such as skis, tennis rackets, and more recently, flexible body armor [14, 85]. The unique ability of corn starch suspensions to shear thicken makes them an intriguing system for study. Shear thickening (or dilatancy) is a non-newtonian flow response and is characterized by a large, sometimes discontinuous increase in viscosity with increasing shear rate or stress [86, 87]. Historically, shear thickening was believed to be a result of particle rearrangement and followed what was termed the order-to-disorder transition (ODT) theory first proposed by Hoffman in 1972 [88]. This theory suggested that at low shear rates parti- 21

42 cles remain at equilibrium, arranged in a 3D lattice like pattern due to the restoring effects of Brownian motion. As shear rates increase, hydrodynamic forces begin to dominate and the flow becomes streamlined, forcing the particles into layers. This organized layering of particles results in a reduction of the system s viscosity and is characterized as shear thinning. Once the shear rate is sufficiently high, the shear stress between layers becomes strong enough to displace particles across streamlines, eventually destroying all organization [86]. This destruction of particle layering results in shear thickening. In the decades following Hoffman s work, researchers discovered that the onset of shear thickening is actually a result of particle hydroclustering (clusters of particles held together solely by hydrodynamic lubrication) and an order-to-disorder transition is not a requirement for dilatant behavior [89 94]. At large shear rates and stresses, convective and hydrodynamic forces dominate over interparticle forces and cause hydroclustering (or clumping) of particles [95 97]. Hydroclusters create fluctuations in particle motion and make movement throughout the suspension more difficult. The restriction in particle motion is represented by a higher rate of energy dissipation and an increase in viscosity (i.e., shear thickening). Hydroclusters (by definition) are reversible and a decrease in applied shear will disband the shear-induced clusters. Hydrocluster formation has been thoroughly studied through rheo-optical experiments [98, 99], neutron scattering [93, 94], stress-jump rheological measurements [100, 101], and in situ rheological small-angle neutron scattering (termed rheo- SANS) [102]. Currently, hydroclusters are regarded as the defining aspect of the shear thickened state [12]. In order to control shear thickening, interparticle interactions must be reduced and maintained. These interactions can be regulated through the manipulation of key parameters that define the suspended phase, such as: phase volume, particle size, particle size distribution, particle shape, surface charge, and the viscosity of the continuous phase [86]. Upholding spatial stability between particles throughout all shear regimes is vital in order to suppress shear thickening. Salts, surfactants, polymer grafts, and altered surface morphology can 22

43 reduce or even eliminate shear thickening (i.e., hinder hydrocluster formation) [103]. A suspension of corn starch particles in water is the most well-known example of a shear thickening system. The unparalleled combination of fluid and solid-like behavior displayed by corn starch suspensions has allowed people to perform the seemingly impossible feat of walking on water. Childlike curiosity and the yearning for divine aptitude has sparked numerous online videos in which people are able to walk on water by taking advantage of the thickening response. At low shear forces, a suspension of corn starch and water behaves as a liquid, where it will flow, take the shape of its container, and resist compression. Yet, when a person applies a strong force by either running or jumping on the mixture, the suspension solidifies and keeps anything (or anyone) from penetrating its surface. One of the most popular videos of this type is from the Discovery Channel s show MythBusters (Figure 3.1a) [104]. In this episode the famed duo takes turns running across a large storage tote ( 5 ft deep) filled with over 200 gallons of water and 1,000 lbs. of corn starch ( 50/50 mix by weight). Another widely observed video is from a television show originating in Barcelona, Spain. The film makers created a 15 foot long pool filled with corn starch and water and examined the thickening behavior by running and jumping on the mixture (the actors even swim around in the pool) [105]. In both videos, the performers were able to run across their respective pools as if they were running across a rigid, solid surface. However, once the person stood still, removing nearly all applied stress, they sank. The observed sinking action is a consequence of the reversible nature of shear thickening, once the applied force is released the suspension s viscosity returns to its original liquid-like state. Corn starch monsters is yet another popular genre of online videos utilizing corn starch and water suspensions (Figure 3.1b). In these films, a mixture of corn starch and water is placed upon a speaker amplifying music. The vibrations from the music apply a stress on the suspension and cause it to stand up, acoustically growing monsters. The corn starch globs stay intact until the music is terminated; again, displaying the reversibility of the shear thickening response. These online video sensations (both the corn starch pools and acoustic 23

44 monsters ), although entertaining, provide little scientific insight into the shear thickening of corn starch suspensions. Figure 3.1: a) MythBusters walking on water YouTube video: MythBusters - Walking on water - Ninja part 5/5 ( and b) Corn starch monsters in YouTube video: Non-Newtonian fluid on a speaker cone ( youtube.com/watch?v=3zotkxxnqiu). Other studies used corn starch as a model suspension to further investigate the underlying mechanisms behind shear thickening [ ]. Recently, Brown and Jaeger used corn starch slurries to help elucidate the jamming phenomenon [109] and to distinguish jamming from discontinuous shear thickening behavior [111]. While Fall et al. [ ] show that corn starch shear thickening can be viewed as re-entrant solid transition, where the suspension s viscosity changesfromasolid toaliquid andthenbacktoasolid withincreasingshearstress. All of the above studies use corn starch slurries as a means to investigate a specific aspect of shear thickening, where thickening behavior is the focal point. The authors only operate at a finite starch concentration range (somewhere between wt%) where shear thickening is most prevalent. Although these previous examinations have provided a detailed insight into the shear thickening response, many questions still exist pertaining to the mysterious shear 24

45 thickening behavior of corn starch. What happens at lower concentration regimes? Does shear thickening cease? If you apply a strong enough force can you get a low concentration (<20 wt%) corn starch slurry to shear thicken? What is the required amount of thickening in order for someone to walk on water? Is there a connection between bench scale rheological measurements and these online videos? The objective of this work is to perform a comprehensive investigation of corn starch shear thickening, where concentration is the focus. We examine the shear thickening behavior of aqueous corn starch slurries as a function of solids concentration, ranging from 0 to 55 wt% (0 to 44 vol%). More specifically, we determine the concentration at which a suspension of corn starch and water will thicken enough to allow the average person to walk on water. At last, we tie together bench-top rheological measurements and macroscopic corn starch pool experiments. 3.3 Experimental Methods Suspensions of corn starch and water were prepared using both refined (Argo corn starch, ACH Food Companies, Inc., Memphis, TN) and bulk corn starch(honeyville Food Products, Inc.,BrighamCity,UT)inultrapureDIwateratvaryingconcentrationsof: 10, 20, 25,30, 40, 45, 47.5, 50, 52.5 and 55 wt%. Measured weight fractions were converted to calculated volume fractions assuming a corn starch density of 1.55 g/cm 3 [112]. Mixtures were thoroughly stirred and allowed to equilibrate for approximately 24 hours at room temperature to ensure consistent hydration of the corn starch grains. Prior to experimentation, samples were restirred and then sonicated for 10 minutes to break up any aggregates, as well as to ensure sample homogeneity. All rheological measurements were conducted using TA Instruments AR-G2 stress controlled rheometer (New Castle, DE). All rheology measurements were performed at 25 C and repeated in triplicate (at a minimum) to quantify measurement error. Temperature control of ±0.1 C was provided by a Peltier heating jacket. Each sample was run through the following three-step experimental procedure to probe for shear thickening behavior: 1) 25

46 a stress ramp from 0.01 to 100 Pa with a step termination greater than 100 s 1 to quantify yield stress, 2) a steady-state shear rate ramp from 0.01 to 1000 s 1 and a steady-state shear rate reduction from 1000 to 0.01 s 1 to confirm the reversible nature of any shear thickening event. Samples were initially sheared using a 40 mm cone and plate geometry; however, the samples were found to phase separate during experimentation, making the collected data sporadic and irreproducible. Thus, yield stress and steady flow measurements were conducted using the vane and cup setup (diameter=15 mm, gap=1 mm, and height=38 mm). The four blade vane geometry was selected to combat the effects of phase separation and to help eliminate erratic data sets. Also, the vane setup is commonly employed for yield stress measurements of structured fluids [66, ] and measuring yield stress as a function of particle concentration is one way to eliminate the possibility of slip at the tool-suspension interface [66, 113]. The average yield stress of the corn starch and water suspensions increased from 0.01 to 10 Pa with increasing starch concentration from 30 to 55 wt%(all samples were pre-sheared prior to the yield stress measurement to insure a consistent starting microstructure across all particle loadings). The exponential increase in yield stress as a function of suspension volume fraction agrees with theoretical predictions [ ] which describe an infinitely increasing yield stress with increasing volume fraction. Therefore, we are confident that the rheological data presented in this study is devoid of phase separation and slip at the tool interface. Samples were imaged on an inverted light microscope utilizing an Epix high speed camera (Buffalo Grove, IL) with a 40x Leica objective (headquartered Solms, Germany). Samples were allowed to rest for twenty-four hours, re-stirred, and then imaged (Figure 3.2a and b). Image post processing and data analysis were performed using MATLAB. 283 and 249 particles were evaluated for the refined and bulk corn starches, respectively. Image analysis shows that the refined and bulk corn starches, on average, are composed of similar sized particles (Figure 3.2c). The average refined corn starch particle area is 191 µm 2 with an 26

47 average equivalent diameter of 15.0±4.2 µm, while the mean bulk corn starch particle area is 213 µm 2 with an average equivalent diameter of 15.8±4.7 µm. Large pools containing 5 gallon mixtures of water and corn starch were made using bulk corn starch and tap water. Corn starch was weighed in a plastic tub ( 18 inches x 15 inches x 6 inches, length x width x height, respectively) ranging in concentration from wt% (in increments of 5 wt%) and tap water was slowly added while stirring with a small garden rake. Stirring was continued until a uniform mixture was achieved through visual inspection. Corn starch pools were used for performing macroscopic stress measurements by running, jumping, and dropping objects onto the mixture. These macroscale experiments were then compared to rheological results. Figure 3.2: a) Microscope image of refined and b) bulk corn starch particles suspended in water. c) Particle size distribution of refined (red) and bulk (black) corn starches. 27

48 3.4 Steady Shear Experiments Corn starch suspensions exhibit two distinct viscosity regimes with increasing shear rate: 1) at low shear rates ( 0.01 to 1 s 1 ), shear thinning behavior is witnessed and 2) at higher shear rates (>1 s 1 ), shear thickening behavior is observed (Figure 3.3). Shear thinning is commonly observed in colloidal suspensions and historically, was characterized as particle layering with the direction of flow, where layers of particles can slide past one another more easily than if they were randomly distributed [11, 12, 87, 121]. Recent work by Cheng et al. [122] and Brown et al. [110] argue that the degree of shear thinning displayed by suspensions cannot be attributed to particle rearrangement alone. The large decrease in viscosity during the shear thinning regime is a result of two contributing factors: 1) a constant, hydrodynamic viscosity contribution caused by viscous stresses and 2) an entropic contribution triggered by random particle collisions. In the shear thickening regime, increases in viscosity are accredited to the formation of transient particle clusters (i.e., hydroclusters). The formation of hydroclusters is initiated when particles are convected into near contact with one another by strong shear forces. The particles are then held together by large lubrication forces stemming from the fluid that is entrained between the clusters. Both the shear thinning and thickening viscosity regimes agree in principle with previous data from a 55 wt% corn starch slurry published by White et al. [112]. The inflection point at which the transition from shear thinning to shear thickening behavior occurs is considered the critical thickening shear rate and a corresponding thickening shear stress can be extracted at this location. Previous studies have shown that shear thickening scales with applied stress rather than shear rate [94 96]. In general, as the corn starch concentration was increased from 10 wt% to 55 wt%, thickening behavior not only occurred at lower shear rates, but the degree of thickening became more prominent (Figure 3.3). At the lower starch concentrations (10-30 wt%), the suspensions exhibit relatively weak thickening behavior and an increasing critical thickening shear stress was observed with increased particle loading (Figure 3.4). Conversely, once the 28

49 corn starch concentration reached 40 wt% the thickening shear stress remained constant at 0.5 Pa with increasing weight fraction. In theory, at low particle loadings (<40 wt%), shear thickening is governed solely by viscous stresses and thickening shear stress is reported to increase as a function of increasing particle loading [94, 110]. However, at higher packing fractions (>40 wt%), shear thickening is still driven by hydrodynamic (or viscous) stresses, but it also incorporates a non-viscous mechanism arising from the confining forces of the system boundaries [111]. The fixed stiffness of the boundaries leads to a thickening shear stress that is independent of the solids fraction. Other colloidal systems have been reported to shear thicken at a single critical stress value, regardless of the solids fraction [94 96, 123]. Figure 3.3: Steady state shear rate ramp of corn starch and water suspensions ranging in concentration from 10 to 55 wt%. Here, shear thickening became so strong that a transition from continuous to discontinuous thickening was observed at 52.5 wt% (Figure 3.3). A shift from mild (continuous) thickening to strong (commonly discontinuous) thickening has been reported in many systems [94, 106, 109, ]. Egres et al. [124] observed a transfer from continuous to discontinuous shear thickening at a volume fraction (φ) of 0.35 using precipitated calcium 29

50 carbonate particles suspended in polyethylene glycol. Brown and Jaeger [109] witnessed a change in thickening behavior at φ=0.56 for a suspension of glass spheres and at φ=0.48 for a corn starch and water system (the water was density matched with corn starch using CsCl). The authors also noted that there was a high degree of uncertainty (±0.1) amongst their reported corn starch volume fractions due to absorbed water from the atmosphere. In our study, the observed change in thickening behavior at 52.5 wt% (φ=0.42) falls directly within the range of published critical concentrations and coincides, within experimental accuracy, with data presented by Brown and Jaeger [109]. Previous studies examining the shear thickening of corn starch and water slurries also witnessed this discontinuous thickening behavior and even use these suspensions as a model system to further elucidate the jamming transition [106, 112]. With this work, we want to develop a correlation between discontinuous thickening behavior and the ability to walk across an aqueous corn starch suspension. Is a jammed microstructure required in order for the average person to walk on water (in this case a mixture of corn starch and water)? 3.5 Rheological Comparison of Refined and Bulk Corn Starches Before examining the capability of a corn starch suspension to support the weight of an average adult human, we must first verify that the shear thickening behavior between refined and bulk corn starch slurries is similar (financially, it would be irrational to attempt to fill a 5 gallon pool with corn starch that was not purchased in bulk quantity). Therefore, we compared the steady state flow curves of a 40, 45, and 52.5 wt% corn starch suspension for both the refined and bulk starches (Figure 3.5). At all three particle loadings, the bulk slurries had higher overall viscosities (throughout the shear thinning and thickening regimes) than the suspensions prepared with the more refined corn starch. Initially we thought the discrepancy in viscosity originated from differences in average grain size between the two types of corn starch. However, there is no statistical difference in the average particle size shown in Figure 3.2c. The divergence in viscosity may stem from variations in surface chemistry, particle anisotropy, or degree of cross-linking within the microgel-like 30

51 starch particles. Work by Dziechciarek et al.[128] witnessed a decrease in reduced viscosity of colloidal starch suspensions with increased levels of cross-linking (higher cross-linking within microgels generally leads to decreased swelling [129,130]). It is possible that the refined corn starch contains a higher degree of cross-linking than the bulk corn starch, however, water uptake studies were inconclusive. Also, when focusing on the 52.5 wt% samples, the discontinuous thickening behavior displayedbytherefinedcornstarchslurrywasabsentintheflowcurveofthebulksuspension. However, after the discontinuous thickening by the refined suspension and the continuous thickening of the bulk mixture, both samples thicken to the same end-point viscosity. As discussed by Barnes [86] the lack of discontinuous thickening behavior exhibited by the bulk sample may be due to the broader size distribution of the bulk starch particles (Figure 3.2c). Figure 3.4: Thickening shear stress as a function of corn starch concentration (wt%). The critical thickening shear stress was extracted from the viscosity minima along the steady state flow curves (Figure 3.3). 31

52 3.6 Connecting Rheology with Corn Starch Pool Experiments Since the rheological behavior of the refined and bulk corn starches agreed, we proceeded to relate our rheological measurements to macroscopic pool experiments. To make this comparison, we filled a large plastic storage tub with 5 gallons of corn starch slurry at concentrations ranging from 30 to 55 wt%. A rock with a mass of 2.1 kg and an impact surfaceareaofnearly142 cm 2 (thiswasthelargest andflattestface ontherock) wasdropped from a height of 0.3 m onto the surface of the corn starch suspensions (the rock dropping experiment resulted in an applied shear stress of 1,500 Pa). Dropping the rock on the starch mixtures allowed us to predict the minimum concentration of corn starch required to support the stress generated from the foot of a person during walking (without the mess and safety concerns of someone actually attempting to walk across the samples). Figure 3.5: Steady state shear rate ramp of the refined (red) and bulk (black) corn starches at 40 (triangles), 45 (circles), and 52.5 (squares) wt%. From the rock drop experiments, it was observed that suspensions containing 45% corn starch by weight or less, were unable to support the force of the rock (Figure 3.6a). The rock passed through the lower weight fraction suspensions with minimal resistance, 32

53 creating a large splash and hitting the bottom of the pool almost instantly (see the Rock Drop Experiments video in the supplementary data). The suspensions with less than 45 wt% corn starch were able to be mixed with little difficulty and for the most part, portrayed fluid-like behavior regardless of the applied stress. Conversely, suspensions containing 50 wt% corn starch (or more), were able to support the force of the rock through an impact-induced thickening response(figure 3.6b). When the rock hit the surface of these highly concentrated slurries it stuck and was momentarily suspended on the surface of the suspension. At a corn starch concentration of 52.5 wt% the rock hit the surface of the pool and was stopped (Figure 3.6c) and then recoiled slightly upward (Figure 3.6d) before slowly sinking to the bottom of the tub. The eventual sinking of the rock is a depiction of the reversible nature of shear thickening. Also, it is worth noting that the observed thickening response was independent of the impact area at which the rock contacted the corn starch mixture (i.e., dropping the rock on a sharp face did not lead to surface penetration). In addition to resisting the force of the rock, the mixtures containing 50 wt% were able to support the impact of an 80 kg adult male while running. Figure 3.6: Images of a 2.1 kg rock impacting the surface of corn starch slurries with concentrations of: a) 45 wt%, b) 50 wt%, c) 52.5 wt% at impact, and d) 52.5 wt% immediately after impact. 33

54 In order to make a direct comparison between our rheological measurements and corn starch pool experiments, an estimate of the force per area exerted by the foot of an average adult male while running must be calculated. Cross [131] measured the maximum applied force from the foot of an 80 kg person running at a speed of 6 m/s to be 2,000 N. We then approximated the surface area of a size 10 (U.S.) men s shoe to be 0.03 m 2. Using the measured applied force from Cross (2,000 N) and our estimate of the surface area from a men s size ten shoe (0.03 m 3 ), we calculated the applied stress from an average adult male while running to be 67,000 Pa. In order for a man to walk on water, an aqueous corn starch slurry must thicken to a near solid-like viscosity under the critical applied stress of 67,000 Pa. Unfortunately, a stress of this magnitude (>10,000 Pa) is unmeasurable in a conventional rheometer; therefore, a direct comparison between our rheological data and observations from the corn starch pool experiments could not be made. Instead, a steady state shear stress ramp from 0.01 to 1,000 Pa was conducted on corn starch suspensions of 40, 45, and 52.5 wt% (for both the refined and bulk corn starches; Figure 3.7). The shear thickening regime from the steady state stress data was fit to a trendline and then extrapolated out to the calculated critical applied stress value of 67,000 Pa (extrapolated data are represented by open symbols in Figure 3.7). We are operating under the assumption that the viscosity of each suspension would rise in a continuous fashion and discontinuous jumps in viscosity are not witnessed at large shear stresses ( 1,000 Pa). Thus, the extrapolation is a first approximation of each suspensions viscosity at an immeasurable shear stress regime. From the extrapolation, an approximate viscosity at 67,000 Pa was obtained for each examined corn starch concentration (Table 3.1). At the calculated critical shear stress (67,000 Pa) the 52.5 wt% refined and bulk corn starch suspensions displayed viscosities that were respectively 180 and 18 times greater than their 45 wt% counterpart (Table 3.1). The 52.5 wt% corn starch slurries have viscosities that are comparable with the intrinsic viscosities of semisolids such as ketchup (50 Pa s) and peanut butter (250 Pa s). One could imagine running across a pool filled with peanut butter. 34

55 Figure 3.7: Steady state shear stress ramp of a) refined and b) bulk corn starch slurries at 40 wt% (black squares), 45 wt% (red triangles), and 52.5 wt% (blue circles). Filled symbols represent measured rheological data and open symbols are extrapolated data out beyond the calculated critical stress of 67,000 Pa. The vertical dashed line corresponds to the calculated applied stress from an average adult male while running (67,000 Pa). 35

56 However, the suspensions with 45 wt% corn starch or less (both refined and bulk) exhibited viscosities that were lower than honey ( 3 Pa s). Even though honey is quite viscous relative to water, it is doubtful that a pool of honey could support the impact force from the foot of an adult male while running. Therefore, our extrapolated rheological data suggest that the corn starch concentration must be 52.5 wt% (42 vol%) in order to safely run across a pool filled with aqueous corn starch slurry. Table 3.1: Estimated viscosities for corn starch slurries at an applied shear stress of 67,000 Pa. Corn Starch Concentration (wt%) Viscosity (Pa s) Refined Bulk It is likely that two separate mechanisms govern the thickening behavior observed in our rheological measurements and rock drop studies. The discontinuous thickening behavior witnessed in our rheological data is expected to be connected to a jammed microstructure that generates a volume-spanning particle network, where the upper stress limit is set by the rigidity of the system walls. However, it is improbable that the impact-induced thickening observed in our rock drop experiments undergoes a similar jamming transition on such a macroscopic scale. Recent work by Waitukaitis and Jaeger [132] disputes the current mechanism that connects the jamming transition observed in shear thickening suspensions with the confining forces of the system walls or boundaries. Waitukaitis and Jaeger challenge the boundary stiffness argument on the basis that shear thickening can be observed locally in large containers, where the point of impact thickens to a solid-like state while the majority of sample volume remains as a liquid. To avoid confinement and boundary effects, Waitukaitis and Jaeger conducted dynamic stress tests by monitoring the impact of an aluminum rod on large volumes (25 liters) of corn starch and water. The authors monitored local compression of the particle microstructure beyond the jamming transition and demonstrated that large 36

57 thickening stresses can be observed without the requirement of a hard confining boundary. Waitukaitis and Jaeger conclude that the large normal forces created during impact-induced thickening are not a result of bounding conditions, but rather, a momentum transfer between the rapidly growing solid plug that is pushed through the surrounding suspension by the impacting object. We believe the thickening observed in our rock drop studies follows a similar impact-generated solidification front outlined by Waitukaitis and Jaeger [132] and is therefore, caused by a different mechanism than the shear-induced thickening observed in our rheological measurements. Although the thickening reported in rheological and impact studies is likely caused by two separate driving forces, the extrapolation of shear data for comparison to our rock drop experiments is a conservative approximation of the true impact-induced viscosity and thus, remains relevant. Impact-solidification (as discussed by Waitukaitis and Jaeger [132]) occurs beyond the jamming transition in a region of shear stress(>5,000 Pa) immeasurable by conventional rheological techniques (due to both mechanical and boundary limitations). The upper limit of measured shear stress during shear thickening is typically set by the rigidity of the suspension particles or system boundaries [106, 111, 133] and has been reported to arise between 5,000 to 10,000 Pa [106, 112, 134, 135]. The extrapolation of shear data is clearly an underestimation of the local viscosity generated at the point of impact. As a result, the extrapolation of our rheological data to a calculated critical stress of 67,000 Pa predicts semisolid viscosities (50 to 250 Pa s) for the 52.5 wt% corn starch slurries instead of the solidlike impact viscosities observed in our rock drop experiments. Therefore, extrapolating shear data measured using a conventional rotating rheometer out to impact relevant stresses (>5,000 Pa) should be used with restraint, anticipating that local impact-induced viscosities will be underestimated. 3.7 Conclusions All employed investigative tools (steady shear rheology, rock drop pool experiments, and extrapolated shear stress data) indicate a transition in thickening behavior when the 37

58 corn starch concentration reaches 52.5 wt% (or a volume fraction of 0.42). The steady state shear rate ramps(figure 3.3) depict a shift from continuous to discontinuous shear thickening at 52.5 wt%. Abrupt, discontinuous thickening is typically synonymous with a jammed microstructure that generates a particle network that spans the volume of the measurement device (i.e, the vane geometry) and is constrained by the boundary walls. At corn starch volume fractions below the critical value of 0.42, any shear-induced structures are not large enough (relative to the flow-gradient direction) to fully disrupt the particle framework within the suspension. Simply, the starch particle concentration is too low. The still images from the rock drop studies (Figure 3.6) verify that suspensions of 45 wt% corn starch and below, display liquid-like behavior at large applied stresses (>1,000 Pa) and are unable to withstand the force of a man while running. Corn starch slurries at 50 wt% were able to momentarily support the weight of the dropped rock (Figure 3.6), but it wasn t until the starch concentration reached 52.5 wt% when solid-like behavior was observed. At 52.5 wt% corn starch, the falling rock impacted the suspension surface and then recoiled (before eventually sinking to the bottom of the pool) as if it were hitting a true solid interface. The corn starch pool experiments were further validated through the extrapolation of measured steady stress data. Only the 52.5 wt% slurries demonstrated viscosities at the calculated critical stress of 67,000 Pa that were within the range of semisolid behavior (50 to 250 Pa s). The other corn starch suspensions (<45 wt%) did not thicken to high enough viscosities, even under such a strong applied stress, to realistically suggest they were capable of supporting the weight of an adult man while running. Therefore, we conclude that the minimum required corn starch concentration for the average man to run on water (corn starch and water) is 52.5 wt% (42 vol%). 3.8 Acknowledgments The authors thank the National Science Foundation (CBET ) and its Research Experience for Teachers (RET) program for providing the funding for this work. We acknowledge Lauren B. Popp, Kathryn E. Johns, Lindsey M. Caire, and Brittany N. Peterson 38

59 for gathering the rheological measurements for this study. Also, we thank Lauren B. Popp for her help with the corn starch pools. Additional thanks to Mr. Kevin Roth (Ph.D. candidate) for his expertise in microscopy and image analysis. 39

60 CHAPTER 4 SHEAR THICKENING OF CHEMICAL MECHANICAL POLISHING SLURRIES UNDER HIGH SHEAR This chapter is modified from a paper published in Rheologica Acta 6 N. C. Crawford 7, S. K. R. Williams 8, D. Boldridge 9, and M. W. Liberatore Abstract We have developed a method for investigating the shear thickening behavior of fumed silica slurries (20-34 wt%) under high shear using a parallel-plate geometry in a conventional rotating rheometer. The CMP slurries displayed irreversible thickening at shear rates exceeding 10,000 s 1. Viscous heating and sample evaporation are shown to be inconsequential to the witnessed shear thickening behavior. Also, the observed thickening is not a result of a critical rheometer speed, as the thickening was independent of the experimental gap height. In agreement with previous work, the slurries thickened at lower shear rates as silica concentration was increased. The shear thickening of the fumed silica slurries is truly shear induced and therefore, the thickening of CMP slurries can be examined using a rotational rheometer at small gap heights ( 100 µm). 4.2 Introduction Although not well studied, it is widely accepted that the viscosity of a CMP slurry is not always constant and can even display shear thickening behavior at process relevant shear rates [10]. The non-newtonian response of CMP slurries is believed to disrupt polishing 6 Reprinted with permission of Rheologica Acta, 2012, 51(7): Primary author and researcher 8 Co-Advisor 9 Committee member 10 Author for correspondence 40

61 results and even damage costly CMP equipment. Even though the dilatant response of CMP slurries is well known, published data of these events are limited. The thickening effect of aqueous silica suspensions (colloidal and fumed) was shown to take place at shear rates above 100,000 s 1 using a capillary rheometer [10]. However, there is little or no additional data to support this claim due to the challenge of taking measurements at such extreme shear rates. To achieve shear rates of this magnitude, capillary or slit rheometers are most frequently utilized. However, these techniques do not closely imitate the centripetal motion of the polishing process and the caking or coating of particles on the walls of the instrument often disrupts the integrity of the rheological measurements (not to mention the limited range of data collected with a single sample loading). High shear experiments can also be performed with a parallel-plate geometry on a rotational rheometer [33, 34, 43, 44]. When working with the parallel-plate setup, two conditions can be exploited for high shear measurements: (1) operate the rheometer near the upper torque limit by rotating the geometry at high velocities and/or (2) decrease the gap spacing between the two instrument plates. Due to frictional and mechanical limitations of the rheometer s motor, there is a distinct threshold to how fast the instrument can rotate. Therefore, when conducting high shear rheological measurements using a parallel-disk geometry, it is more practical to operate at finite gap heights ( 100 µm). When working at small and presumably parallel gap spacings, the accuracy of this technique is limited by the mounting precision of the top and bottom plates and the torsional sensitivity of the instrument. Connelly and Greener [30] reported that the presence of flow disturbances such as viscous heating, surface fracture, and radial migration, can hinder the validity of high shear measurements and it is crucial to separate true rheological responses of a fluid from the effects of such disturbances. Pipe et al. [44] demonstrated with welldefined fluids that the misalignment of the top plate in a parallel-plate geometry can cause up to 50% measurement error when working at gap spacings 100 µm. Fortunately, the 41

62 error from the non-parallelism of the parallel-plate setup is systematic in nature and can be quantified, allowing for a good estimate of a fluid s true viscosity-shear rate relationship. The primary objective of this work is to demonstrate that the shear thickening behavior of highly concentrated (20-34 wt% or vol%) fumed silica slurries can be observed using a parallel-plate setup on a conventional rotational rheometer under high shear. By working with a simple suspension of fumed silica in water with added KOH for ph adjustment, we are able to show that the observed thickening behavior is real and free of outside disturbances (i.e., speed artifacts, viscous heating, sample evaporation, etc.). Our goal is to initiate a series of investigations on the shear thickening of CMP slurries using high shear parallelplate rheology and to further elucidate the driving forces behind the slurry s irreversibly nonlinear flow behavior. 4.3 Experimental Methods Typically, the CMP slurry is a mixture of a colloidal abrasive, a suspending liquid (usually water), and active chemicals that attack the material being removed. For this study, concentrated ( 20-34% w/w) fumed silica CMP slurries provided by Cabot Microelectronics Corporation (Aurora, IL) are investigated. Fumed silica (ρ=2.0 g/cm 3 ) is formed through the oxidation of silicon tetrachloride (SiCl 4 ) under a high temperature flame to produce small, spherical primary particles on the order of 5-50 nm [54]. These primary particles collide during the flaming process to form rigid, covalently bonded aggregates around nm in size [55]. The fumed silica aggregates have a wide geometric variance and are highly anisotropic (Figure 4.1). These aggregates are dispersed in an aqueous solution and electrostatically stabilized with KOH at alkaline ph between (well beyond silica s isoelectric point of ph 2). This stabilized silica suspension is the fundamental basis for a wide range of CMP slurries. Rheological measurements were performed using a parallel-plate geometry on TA Instruments stress controlled AR-G2 rheometer (New Castle, DE). The top, rotating plate, is constructed of stainless steel and is 60 mm in diameter. The gap height used for experimen- 42

63 Figure 4.1: Transmission electron microscopy image of fumed silica (provided by Cabot Microelectronics Corporation). tation was 30 µm (unless otherwise noted), which allowed for shear rates up to 300,000 s 1 to be explored. All measurements were conducted at 25±0.1 C, with temperature control provided by the Peltier element. For steady state measurements, a shear rate ramp step was utilized to probe for the shear thickening of the CMP slurries. The shear rates investigated ranged from 100 s 1 to 200,000 s 1. For this set of experiments, 5 data points were collected from 100-1,000 s 1, 10 from 1,000-10,000 s 1, and 20 from 10, ,000 s 1, all evenly distributed throughout the specified shear rate decade. Data resolution was increased at the higher shear rates in order to pinpoint the shear rate where thickening behavior is observed. Following the shear rate ramping step, a shear rate reduction step was employed to probe the irreversibility of the shear thickening demonstrated by the examined fumed silica slurries. Data was collected at a density of 10 points per decade during the shear rate reduction step. 4.4 CMP Slurry Shear Thickening The flow curves for fumed silica slurries exhibit two distinct regimes with increasing shear rate: 1) at lower shear rates (100-10,000 s 1 ) slight shear thinning behavior is observed and 43

64 2) at higher shear rates ( 10,000 s 1 ), shear thickening is witnessed through a discontinuous jump in viscosity. During the shear thickening phase, slurries will display a 2-15 fold increase in viscosity (Figure 4.2). Critical shear rate, γ c, and shear stress, τ c, values are extracted from this flow curve after the discontinuous change in viscosity (the data point at the top of the bracket in Figure 4.2). Figure 4.2: Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 25 wt% silica slurry (squares) with a concentration of added KCl, C KCl, of 0.12 M, DI water (circles), and a 0.5 M KCl solution (triangles). The critical thickening shear rate for the silica slurry is 54,000 s 1. The slurries display thickening behavior upon increasing the shear rate and remain thickened as the shear rate is reduced. This effect is deemed hysteresis and implies that the thickening of these CMP slurries is irreversible on the time scale of minutes. The flow curve of a thickened 25 wt% silica slurry is compared to those of relevant suspending fluids, DI water and a 0.5 M KCl solution (Figure 4.2). As expected, the suspending media both behave as Newtonian fluids, displaying a viscosity that is virtually independent of shear rate. The suspending fluids are also devoid of hysteresis. 44

65 4.5 Flow Instabilities The use of small gaps for high shear measurements spawns further questions, such as whether the shear thickening is real or is it due to some flow instability or an artifact that arises from operating at high rheometer speeds (Ω max 3,000 rpm)? When taking high shear measurements in a conventional rheometer, it may be challenging to sustain laminar, viscous driven flow due to the large flow velocities. During high shear experimentation, flow disturbances such as inertial effects, viscous heating, wall slip, or the transition from laminar to turbulent flow can occur [136]. To maintain a laminar, well-defined flow profile, the Reynolds number, Re (Re=ρ γh 2 /η), must remain below a critical value of 2,300. For this to occur, the rheometer plate spacing (H) must follow H < [ ηre c ρ γ ]0.5, (4.1) where η and ρ are the viscosity and density of the fluid, respectively. If literature values of water for η and ρ (0.001 Pa s and 1,000 kg/m 3 ) are used as a worst case scenario, it can be determined that laminar flow is upheld at γ=1,000,000 s 1 only when H<45 µm. As a result, experiments conducted at a gap height of 30 µm and at shear rates below 200,000 s 1 remain in the laminar flow regime. The calculated bulk Reynolds number and particle Peclet number (0.1 <Re<300 and 10 <P e<10,000) provided assurance that inertia effects are minimal. Two difficulties encountered when using a conventional parallel-plate rheometer under high shear are: viscous heating and radial migration [30]. Viscous heating is generated under shear when there is localized friction between molecules or particles and this rubbing effect causes an increase in the internal temperature of the fluid. Viscous heating creates a pseudo shear thinning response, making it difficult to discern between an actual rheological change and one induced by friction. In a steady state experiment like in Figure 4.2, shear rate is increased in a controlled fashion over a minute time interval. In an environment of this nature, where shear 45

66 Figure 4.3: Steady state shear rate ramp (open circles) compared to individual peak hold experiments for 30 seconds (filled squares) at shear rates between ,000 s 1 for a 25 wt% silica slurry. rate is continuously ramped, the potential for promoting viscous heating and/or sample evaporation is great. To investigate the presence of slurry heating and evaporation, an average single shear rate ramp step from ,000 s 1 (the error bars are one standard deviation, determined from triplicate runs) is contrasted against 30 second constant shear rate experiments (of a new sample loading at each shear rate) in Figure 4.3. The overlap from these two data sets indicates that the slight shear thinning observed in phase 1 of the slurry s flow curve (see the Shear Thickening Section) is a true shear induced effect and is related to particle realignment [12]. The brief shear rate hold experiments should be free of sample evaporation and in theory, free of viscous heating. Thus, the nonlinear behavior of the slurry s viscosity under high shear appears to be shear induced and not driven by changes in localized internal temperature. To quantify the influence of heat stimulated changes to a system s microstructure, the Brinkman number(br) is evaluated. Br is a measure of the importance of viscous dissipation to heat transfer by conduction [137, chap. 10] and is commonly defined as 46

67 Br = ηv 2 b k(t b T 0 ). (4.2) In this expression, v b refers to the velocity of fluid near the interface of the top, rotating plate and T b and T 0 are the bulk and wall temperatures of the fluid, respectively. k is defined as the thermal conductivity of the fluid. Assuming a uniform temperature profile across the thickness of the sample and inserting the product of the shear rate ( γ) and plate height (H) for the fluid velocity at the upper plate, the Brinkman number reduces to Br = η( γh)2 kt 0. (4.3) Using estimated slurry fluid properties (η=0.02 Pa s and k=0.46 W/m K) and nominal experimental conditions ( γ=200,000 s 1, H=30 µm, and T 0 =25 C) one can find Br= For most fluids under laminar flow conditions the critical Br is on the order of 0.01 [138], which is the point where viscous heating becomes significant. The experimental Br does not reach 0.01 until the applied shear rate approaches 1,000,000 s 1. Therefore, the conductive heat transfer rate across the thin layer of fluid can be assumed constant, making it reasonable to neglect viscous heating effects. Radial migration is another common challenge that arises when performing high shear studies using a parallel-plate geometry in a rotational rheometer. The term radial migration can refer to either the motion of the colloidal suspension as a whole or the motion of the particles independent of the suspending fluid. The autonomous radial motion of individual colloidal particles under flow has been extensively examined [ ]. Abbott et al. [139] witnessed preferential particle migration from areas of high shear stress to regions of low shear stress using a Couette geometry. When these experiments were reproduced using a parallel-plate geometry, Chapman [140] and Chow et al. [141] found no detectable change in the radial concentration profile. Later Krishnan et al. [142] discovered that particle motion caused by gradients in shear stress was counterbalanced by particle flux driven by the geometry s curvature. These authors found that tracer particles larger than those 47

68 making up the majority of the suspension population tended to migrate radially outward under shear, opposing the induced shear gradient. In the above references (regardless of the examined geometry), shear induced particle migration was only witnessed in highly concentrated ( 40% by volume), bidisperse suspensions with particle diameters of several hundreds of microns. In a parallel-plate geometry monodisperse suspensions displayed no observable particle migration because the motion of particles driven by geometry curvature cancels out any migration induced by gradients in shear stress. The CMP slurries in question consist of particles with diameters ranging from nm ( 1000x smaller than the particles examined in the above studies), are not bidisperse (the measured size polydispersity index is <0.1), and have a volume fraction of 20% or lower. Therefore, we are ignoring the effects of independent particle migration caused by shear gradients and geometry curvature and focusing our analysis on radial migration of the suspension as a whole. Bulk radial migration of pure fluids or colloidal suspensions is initiated by large centripetal forces that cause the fluid to migrate radially outward towards the rim of the rheometer plate. When the inertial forces exceed the surface tension of the fluid at the rheometer edge, the sample will eventually be ejected from between the two plates. For radial migration to be present, the centripetal force from the rheometer must exceed the confining surface tension of the fluid [44, 143]: γ c = 1 20Γ H 3ρH, (4.4) where γ c is the critical shear rate at which radial migration begins to occur. ρ and Γ are the fluid density and surface tension, respectively. Since the surface tension of CMP slurries is not widely reported, the surface tension of water at 25 C (Γ=71.97 mn/m) was used as an estimate. The density of a 25% w/w silica slurry was measured to be 1,160 kg/m 3 and this valuewasverifiedbytheworkofyokoyamaet al.[55]. Usingthesefluidpropertiesthecritical shear rate for the onset of radial migration was calculated as a function of experimental gap height (see Table 4.1). 48

69 Table 4.1: Calculation of the critical shear rate ( γ c ) for the onset of radial migration as a function of gap height (H). H (µm) γ c (s 1 ) , , , , , , , ,500 As expected, the smaller the gap spacing the larger the critical shear rate value. At a gap height of 30 µm the critical shear rate is 124,000 s 1, which is on the order of 100,000 s 1, the shear rate regime needed to probe for the shear thickening effect of CMP slurries. Operating at a gap height of 30 µm, provides the opportunity to investigate the high shear rheological response of model CMP slurries without significant radial migration. One remaining question is whether accurate experimental measurements can be taken at a finite gap spacing, and if the observed thickening response is a function of the experimental plate spacing. When working at relatively small gap heights ( 100 µm), measurement accuracy greatly depends on the true parallelism between the top and bottom plates. Any discrepancy in the alignment or any defect on the surface of either plate can cause measurement errors on the order of 50%. Connelly and Greener [30] developed a method for assessing the gap error for a parallel-plate rheological system and their procedure was recently modified by Pipe et al. [44]. Pipe and coworkers updated analysis was employed to quantify the degree of non-parallelism in our system. The calculated gap errors range between 30 µm to 50 µm (see Appendix A for the full gap error assessment). All results from the non-parallelism analysis agree very well with published data and the error in gap height was observed to be independent of the applied shear rate. Consequently, accessing high shear rates becomes a 49

70 trade off between gap errors sustained from plate misalignment, which is important at small gap heights, and flow instabilities, which are minimized at smaller gap spacings. Fortunately, errors in gap height can be quantified, allowing apparent viscosities to be corrected to attain true viscosities (the same holds true for shear rate data). In this work, changes in viscosity induced by exposure to high shear are more important than the actual viscosity of the slurry at a given shear rate. Thus, since non-parallelism effects are systematic in nature we are assuming that the amount of gap error is consistent for every data point regardless of the magnitude of applied shear. Consequently, only apparent data is reported here and results are only converted to true values when needed. If the reported thickening behavior is a true shear induced phenomena, then the shear rate at which the slurry thickens (i.e., the thickening shear rate) should be independent of the gap spacing between the two rheometer plates. The equation for shear rate in a parallelplate geometry is γ=ωr/h, where R is the radius of the top plate. If the experimental gap height is varied and the slurry continues to thicken at a consistent shear rate, then these thickening events are occurring at different rotational speeds. Here, the shear rate where the slurry thickens is measured to be independent of gap height for several particle concentrations (Figure 4.4). The gap height independence in thickening shear rate holds over a five-fold increase in gap height ( µm). As a result, the observed thickening behavior is truly shear induced and not a rheometer speed effect that generates a pseudo shear thickening response at high rheometer velocities. 4.6 Evaporation or Shear Thickening? The next question is whether or not the observed response is thickening or just a result of a concentration change due to evaporation. Perhaps the slurry is not shear thickening at all (in the classic sense), but rather the studied effect is a result of slight evaporation which causes the jump in viscosity and as the shear rate is reduced the slurry continues to evaporate, causing the observed hysteresis. 50

71 Figure 4.4: Thickening shear rate as a function of gap height for 34 (circles), 30 (squares), and 25 (triangles) wt% silica slurries. The 25 wt% slurry has an added KCl concentration of 0.17 M. The dashed lines correspond to the average critical thickening shear rate measured across the 30 to 150 µm window of gap heights. Figure 4.5: Steady state shear rate ramp and reduction to varying shear rates just prior and up to the critical thickening shear rate ( 54,000 s 1 ) for a 25 wt% silica slurry (C KCl =0.12 M). 51

72 In order to assess this possibility, a series of shear rate ramp and reduction experiments were conducted on the same slurry presented in Figure 4.2, which has a thickening shear rate of 54,000 s 1. If the witnessed thickening response is an evaporative effect, the slurry s dilatant behavior should be dependent on the amount of time exposed to the high shear environment. In Figure 4.5, the shear rate was ramped (and then reduced) to different shear rates just prior and up to the critical thickening shear rate. First, the shear rate was increased to 40,000 s 1 and then reversed with little to no thickening. The shear rate was then ramped to 45,000 s 1 and similar behavior was observed, where the slurry flow curve was absent of thickening. Next, the shear rate was increased to 50,000 s 1 and the ascending and descending shear rate ramps begin to deviate measurably from one another, displaying mild thickening behavior. However, it is not until the shear rate reaches and slightly exceeds the critical thickening shear rate when a discontinuous jump in viscosity is observed (shown in the ramp to and from 55,000 s 1 in Figure 4.5). Verifying that the witnessed dilatancy is not just a function of how long the slurry is loaded in the rheometer, but it is truly a shear induced response. The challenge with separating transient effects from shear stimulated changes from the steady state experiments in Figure 4.5, is that each data point was collected at a different time interval. Indicating that each set of ascending and descending shear rates occurred on different time scales and were dependent on how quickly steady state was achieved (i.e., a shear rate ramp to 40,000 s 1 could take longer than a ramp to 50,000 s 1 ). Therefore, a set of constant shear rate experiments were conducted on the same 25 wt% slurry as shown in Figure 4.2 and Figure 4.5. Each slurry was presheared at 1,000 s 1 for 5 minutes prior to commencing the 10 minute constant shear experiments. As the applied, constant shear rate was increased the slurry thickened at shorter times (Figure 4.6). In addition, the viscosity reaches a plateau and ceases thickening even under relatively high shear rates. The thickening behavior displayed in Figure 4.6a is irreversible and the slurries remain thickened months after shearing has commenced. Even after a constant shear rate experiment, the slurries 52

73 show similar hysteresis behavior (as observed in Figure 4.2) during a shear rate reduction step. Evaporation is believed to be insignificant since the observed thickening is dependent on the applied shear rate and the viscosity does not increase continuously throughout the constant shear experiments. The working hypothesis is that the shear thickening of fumed silica slurries is caused by strong particle interactions that generate large agglomerates ( 0.5 µm) under high shear. These agglomerates would be synonymous to hydrocluster formation. Thus, if more silica particles are present in the slurry (i.e., silica concentration is increased) there will be more frequent collisions which affects the transition to the thickened state (Figure 4.7). As the silica weight fraction was increased from 0.21 to 0.29, the thickening shear rate shows a monotonic decrease in value (Figure 4.8). However, the corresponding shear stress at which the slurry thickens appears independent of particle loading (Figure 4.8). The thickening shear stress is nearly constant until the silica weight fraction reaches 0.29 and a step change in critical shear stress is measured. The nonlinearity of the thickening shear stress is also portrayed in Figure 4.9. At 21 wt% silica, a doubling of the viscosity is observed as a result of the discontinuous thickeningoftheslurry(figure4.9). Between23and27wt%theslurrycontinuestoshow 2-3 fold increase in viscosity during thickening. When the silica concentration is increased to 29 wt%, the viscosity undergoes a drastic 15 fold increase. The shear thickening is enhanced as the slurry concentration increases and at high enough particle loadings ( 29 wt%) the thickening behavior becomes more pronounced. This observed behavior is consistent with previous shear thickening literature [12, 86, 88, 94, 98, 144]. Previous work examining the shear thickening response of carbon black suspensions [145, 146] indicated a new mechanism for shear thickening under flocculating conditions. Shear thickening in these systems is not driven by hydrocluster formation, but rather by the destruction of fractal clusters which results in an increase in the effective volume fraction of the system. Much like hydrocluster formation, the breakup of these dense fractal 53

74 Figure 4.6: a)peak hold for 10 minutes at 10,000 (open triangles), 15,000 (filled circles), 20,000 (open squares), 25,000 (filled triangles), 35,000 (open stars), and 55,000 (filled squares) s 1 for a model 25 wt% silica slurry (C KCl =0.12 M). γ c 54,000 s 1. b) Thickening time acquired from the peak hold experiments as a function of applied shear rate. Thickening time was determined as the time at which the slurry viscosity reached a value of Pa s. The dashed line represents a first order exponential decay fit. 54

75 Figure 4.7: Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 21 (squares), 25 (circles), and 29 (triangles) wt% fumed silica slurry (C KCl =0.12 M). Figure 4.8: Thickening shear rate(filled squares) and shear stress(open squares) as a function of silica weight fraction. All slurries were diluted from the same 29.5 wt% parent slurry with varying concentrations of KCl to an identical final concentration of added electrolyte (C KCl =0.12 M). 55

76 Figure 4.9: Pre-thickening (filled circles) and post-thickening (open circles) viscosities as a function of silica weight fraction. The pre-thickening viscosity is the slurry viscosity just prior to the witnessed jump in viscosity and the post-thickening viscosity is the apparent viscosity(at the critical thickening shear rate) following the observed thickening of the slurry. The corresponding thickening shear rate and stress are shown in Figure 4.8. clusters is reversible (even though the samples show thixotropic loops on the shear rate reduction sweep). Here, the fumed silica slurries in question display irreversible thickening behavior and any changes in viscosity are permanent (on the time scale of months). Neither mechanism, hydrocluster formation nor fractal cluster destruction, completely captures the thickening behavior displayed by the fumed silica slurries. Although we have not explicitly determined which method better describes the slurries irreversible thickening, it is our belief that the fumed silica aggregates are being driven together to form large agglomerates under shear rather than being irreversibly dispersed. Dynamic light scattering measurements of a 25 wt% slurry (diluted 1:1000 by volume) showed a 110 nm increase in the average particle diameter directly following a complete shear rate ramp and reduction experiment. The slurry s average starting particle diameter was 160±11 nm prior to being exposed to any shear forces and the particle diameter increased to 270±34 nm after displaying shear thickening behavior. Therefore, the authors believe that the irreversible shear thickening displayed by the fumed silica slurries in this study is more 56

77 likely due to a shear induced agglomeration process (like hydrocluster theory) rather than a change in effective volume fraction caused by the breakup of dense fractal aggregates. 4.7 Conclusions We have developed a protocol for measuring the high shear behavior of CMP slurries using a parallel-plate geometry in a conventional rotating rheometer. The investigated fumed silica slurries were observed to irreversibly shear thicken at shear rates exceeding 10,000 s 1. We were able to demonstrate that the witnessed thickening events were free of outside influences, such as viscous heating and sample evaporation. Thickening events were independent of the experimental gap height and thus, were not induced by a critical rheometer speed. The thickening displayed by the CMP slurries became heightened as the concentration of silica was increased, which is consistent with accepted shear thickening literature. Overall, the information presented in this study confirms that the observed increase in slurry viscosity is a true shear induced response. The working hypothesis is that under high shear slurry particles are driven together to form large agglomerates that cause defects during the CMP process. The creation of agglomerates through high speed polishing is consistent with the formation of hydroclusters in the shear thickened state. However, by definition, hydroclusters are completely reversible and are destroyed when shear forces are relinquished. This begs the question of what is truly causing the irreversible, nonlinear flow behavior of these fumed silica slurries? Is it particle agglomeration or an entirely different driving force? Recent work has shown that fractal particle systems will display shear thickening behavior not by the formation of hydroclusters, but by the breakup of dense fractal clusters [145, 146]. Although there is a direct correlation between increased particle size and defect formation during polishing [16, 18], we do not rule out the possibility that agglomerate destruction could be the cause of the observed shear thickening. Moving forward, we will focus our efforts on investigating the driving force behind the irreversible shear thickening behavior of the examined fumed silica slurries. 57

78 4.8 Acknowledgments The authors would like to thank the National Science Foundation (CBET ) for providing the funding for this work. Also, we acknowledge Cabot Microelectronics Corporation for supplying the slurries and for allowing us to share our findings. 58

79 CHAPTER 5 SHEAR THICKENING AND SHEAR-INDUCED AGGLOMERATION OF CHEMICAL MECHANICAL POLISHING SLURRIES USING ELECTROLYTES This chapter is modified from a paper published in Rheologica Acta 11 N. C. Crawford 12, B. Yohe 13, S. K. R. Williams 14, D. Boldridge 15, and M. W. Liberatore Abstract In this work, we examined how the addition of various monovalent salts (CsCl, KCl, LiCl, and NaCl) and electrostatic stabilizing bases (KOH, NaOH, or CsOH) influenced the slurry s thickening behavior. Overall, as the added salt concentration was increased from 0.02 to 0.15 M, the shear rate at which the slurry thickened (i.e., the critical shear rate) decreased. Slurries with added CsCl, NaCl, and LiCl thickened at comparable shear rates ( 20,000-70,000 s 1 ) and in general, followed ion hydration theory (poorly hydrated ions caused the slurry to thicken at lower shear rates). However, slurries with added KCl portrayed thickening behavior at higher critical shear rates ( 35, ,000 s 1 ) than other chloride salts. Also, slurries stabilized with CsOH thickened at higher shear rates ( 90, ,000 s 1 ), regardless of the added salt cation or concentration, than the slurries with KOH or NaOH. The NaOH-stabilized slurries displayed thickening at the lowest shear rates ( 20,000 s 1 ). The thickening dependence on slurry base cation indicates the existence of additional close-range structure forces that are not predicted by the Derjaguin-Landau-Verwey-Overbeek colloidal stability theory. 11 Reprinted with permission of Rheologica Acta, 2013, DOI: /s Primary author and researcher 13 Undergraduate researcher 14 Co-Advisor 15 Committee member 16 Author for correspondence 59

80 5.2 Introduction Discontinuous thickening behavior, as displayed by fumed silica slurries [68, 69, 127], is commonly associated with the phenomenon known as jamming [106,125,133, ]. At high shear rates, individual particles are driven together and their motion becomes coupled, either by hydrodynamic lubrication or through an irreversible agglomeration process. The shear-induced clustering of particles results in the formation of larger agglomerates that can jam the suspension s microstructure, leading to nonlinear increases in viscosity [124]. Discontinuous thickening behavior and related jamming, is augmented with increasing particle anisotropy(i.e., rod- or disk-like particles). The rotation of highly non-spherical particles like fumed silica can cause them to interlock under flow and jam the suspension s network [155]. The entanglement of randomly oriented, anisotropic fumed silica aggregates can lead to observed shear thickening behavior at lower particle loadings (φ <0.5) than suspensions of hard spheres. Recent work by Brown and Jaeger [109], however, was able to distinguish discontinuous shear thickening behavior from the jamming transition. The authors concluded that the jammed state is a limiting case of discontinuous thickening. At a critical concentration, mildly discontinuous thickening will transition into a jamming phenomenon where the critical shear rate for instigating shear thickening approaches zero and the yield stress increases drastically. Generally speaking, discontinuous shear thickening is not always synonymous with shear-induced jamming. Brown and Jaeger [109] went on to discuss how sample confinement is an important factor for the initiation of discontinuous shear thickening behavior. They concluded that in a parallel-plate setup the surface tension around the outer edge of the plates is necessary to observe discontinuous shear thickening. The formation of hydroclusters or shear-induced agglomerates can involve the entrapment of liquid between particles, causing the newly formed clusters to dilate (increasing the effective volume of the particle network) [111]. The dilation of particle clusters near a system boundary (i.e., the air-liquid interface of a 60

81 parallel-plate setup) will restrict cluster growth and result in an abrupt increase in viscosity [106, 109, 112]. In order for a suspension to exhibit shear thickening behavior, it has been reported that two criteria must be met[156]: 1) the effective volume fraction of solids within the suspension must be high and 2) the suspension must be comprised of particles that are non-flocculating and repel one another through electrostatic, steric, or entropic interactions [157]. In past work, authors generally stated that shear thickening would transpire only when no attraction forces between particles existed[86,158]. It is difficult to extract a direct relationship between particle surface chemistry and shear thickening behavior from previously published results because surface conditions were rarely controlled nor reported [159]. Laun [160] examined polystyrene-ethylacrylate latex particles that displayed an overall increase in viscosity, but were devoid of shear thickening when the ph was close to the isoelectric point (IEP), where the net charge on the particles surface is zero and attractive interparticle forces dominate. Far from the IEP, where the particles have strong electrostatic repulsion, shear thickening was observed. Conversely, Franks et al.[159] observed that large( µm) highly concentrated (55 volume %) spherical silica slurries show an increase in the critical thickening shear rate as the ph is moved away from the isoelectric point. These authors also observed that the addition of salt decreased the critical thickening shear rate. Overall, shear thickening is not dependent on hydrodynamic interactions alone, but also depends on the range and magnitude of repulsive and attractive interparticle forces. Shear thickening, by definition, is a completely reversible phenomenon and any increases in viscosity are relinquished upon the cessation of applied shear. Colloidal dispersions (typically viewed as hard spheres ) shear thicken through the formation of reversible hydroclusters. However, polymeric systems shear thicken through a mechanism known as shearinduced bridging, where polymer chains extend from one particle floc to another forming a flow-induced network [79 82]. Little data has been published on the formation of shearinduced flocs or gels without the use of polymers. Recent work by Amiri et al. [69] studied 61

82 the irreversible thickening behavior of aqueous fumed silica suspensions (7-14 wt%) through the addition of large amounts of glycerol (0-80 wt%) and small amounts (<0.1 M) of NaCl. The authors attributed the irreversible thickening to particle bridging and concluded that the observed thickening was dependent on a balance between hydrodynamic and colloidal (hydration and electrostatic) forces. The unusual irreversible thickening behavior reported by Amiri et al. [69] was termed flow-induced gelation. The influence of silica surface chemistry on the stability of aqueous colloidal silica dispersions, silica sols, has been extensively studied; however, many aspects are inadequately understood [56, 57]. In general, colloidal dispersion stability is depicted by the Derjaguin- Landau-Verwey-Overbeek (DLVO) theory, where the total interaction energy between two particles is expressed as a balance relating attraction forces due to van der Waals interactions and electrostatic repulsion from the interference of charged double-layers. The addition of salt to a suspension reduces the Debye length of the solution (which is directly related to ionic strength [161]) and decreases the zeta potential of the particles [159]. Thus, under the influence of salts, the particles interaction shifts from repulsive to attractive, ultimately leading to irreversible aggregation [60]. For lyophilic (solvent loving ) colloids like silica, the DLVO theory inadequately describes their stability, especially at high electrolyte concentrations and short separation distances [56, 61, 62, 67, 70]. Silica is reported to have an unusually enhanced stability near its isoelectric point ph (ph IEP ) of 2, where particles have a neutralized net surface charge. The increased stability of silica at its IEP continues even under the presence of high concentrations of chloride ions; while at elevated ph values (well above silica s ph IEP ) where strong zeta potentials have been reported, silica is more susceptible to flocculation [61, 62, 66]. Debate continues over the anomalous short-range repulsion behavior of silica near its ph IEP. Many believe that silica s abnormal stability around its IEP is most likely due to either a repulsive hydration layer [61, 64, 65, 68, 69, 71] or a close-range steric repulsion [63, 66, 71 73] caused by gel layers consisting of silicic acid chains. 62

83 Aqueous silica suspensions are believed to coagulate through the process known as hydroxo interparticle bridging, where acidic surface silanol groups (SiOH) are linked together by adjacent hydroxide ions (OH ) (see Section 2.4 for details). Under highly alkaline conditions (ph >10), silica s surface is almost completely disassociated (SiO ) [68,75], leading to a negative net surface charge. However, in the presence of salt, silica s surface will be entirely covered with hydrated cations [76, 77]. The layers of hydration that surround the adsorbed cations can act as binding vehicles for the deprotonated surface silanols (SiO ) [74,78]. Water molecules surrounding the adsorbed counterions are displaced by the dissociated silanols and an irreversible bridge is created between two silica particles [68, 70, 74]. Thus (as one might expect), silica has been shown to obey the Hofmeister prediction for hydration stabilization [66, 162, 163]. The Hofmeister series arranges ions in order from least hydrated to most hydrated (Cs + < K + < Na + < Li + ; Table 5.1). At a given salt concentration, less hydrated ions (K + and Cs + ) are more readily adsorbed to silica s surface, generating a stronger charge screening effect, and in result, leading to a lower (less negative) zeta potential. On the other hand, strongly hydrated ions (Na + and Li + ) are less likely to adsorb to silica s surface and have a weaker charge screening effect, leading to larger (more negative) zeta potentials [62, 66, 164, 165]. Table 5.1: Ionic radii of unhydrated and hydrated cations [170]. Cation Ionic Radius (pm) Unhydrated Hydrated Li Na K Cs CMP slurry stability is highly dependent on the electrostatic interactive forces between particles [2]. Slurry particle stability can be weakened through the addition of certain electrolytes (i.e., salts and ph adjusting agents) [5, 9]. However, silica CMP slurries with 0.1 M KCl demonstrated increased removal rates during polishing with lower resultant surface 63

84 roughnesses (in comparison to other chloride salts and ionic strengths) [7]. Material removal rates are increased with increasing salinity due to increased frictional forces between the abrasive slurry particles and the semiconducting materials being polished [166]. As a result, it is crucial for slurry manufacturers to locate the delicate balance between increased polishing performance with increased electrolyte concentration and the inherent particle agglomeration associated with the reduction in electrostatic repulsive forces. Thus, our objective is to investigate the effect of electrostatic and hydration forces on the thickening behavior of concentrated (20-34 wt% or vol%) fumed silica CMP slurries under process relevant shear rates (>10,000 s 1 ); which to our knowledge, has never been accomplished. The magnitude and range of interparticle repulsions were adjusted through the addition of monovalent chloride salts (CsCl, KCl, LiCl, and NaCl). Also, slurries were prepared with a series of hydroxide bases (CsOH, KOH, and NaOH) to further elucidate the relationship between ion surface adsorption, electrokinetics, and shear-induced thickening. 5.3 Experimental Methods For this study, highly concentrated, production scale slurry (Cabot Microelectronics Corporation, Aurora, IL) was extracted part-way through the commercial fabrication process and then diluted to a target ph of 11. We examined slurries that were ph adjusted with KOH, CsOH, and NaOH. All three slurries had varying end product silica concentrations (34.7 wt%:koh, 36.3 wt%:csoh, and 31.1 wt%:naoh) and are a simplified version of the commercially available slurry(provided for research purposes only). These three slurries were then diluted to 25 wt% with various salt solutions, with a resultant slurry salt concentration between 0.02 to 0.15 M. Analytical grade ( 99.0% purity) LiCl (Fisher Scientific, Fair Lawn, NJ), NaCl (Mallinckrodt Chemicals, Phillipsburg, NJ), KCl (Mallinckrodt Chemicals), and CsCl (Johnson Matthey Electronics, Ward Hill, MA) solutions were added at concentrations between 0.05 to 0.7 M. After dilution, slurries were stored under ambient conditions for 24 hours before commencing zeta potential and rheological measurements to assure that the absorption of counterions onto the surface of silica had reached equilibrium. 64

85 Zeta potential measurements were performed with a DT-1200 combined acoustic and electroacoustic spectrometer developed by Dispersion Technology, Inc. (Bedford Hills, NY)[167]. This instrument has two separate sensors for measuring acoustic and electroacoustic signals. The acoustic pathway is used solely for obtaining particle size, while the electroacoustic option allows for both particle size and zeta potential characterization. Only zeta potential information is presented here. The DT-1200 uses the colloid vibration current (CVI) method for determining zeta potential. The magnitude and phase of the CVI is measured by the electroacoustic sensor at a preset frequency of 2 MHz. The electric field created by the polarization of the double layer is processed by a special analysis program that converts the CVI response into a zeta potential [167]. For more detail on this method please refer to the following resources [167, 168]. Acoustic and electroacoustic spectroscopy requires no sample dilution and is able to handle fully concentrated suspensions up to 45% by volume [167, 168]. This technique is highly suitable to handle the CMP slurries [169] of interest which range in concentration from volume % and allows for zeta potential measurement under the actual ionic environment of the fumed silica particles. Rheological measurements were conducted using TA Instruments stress controlled AR- G2 rheometer (New Castle, DE) with a parallel-plate geometry. The top, rotating plate, is constructed of stainless steel and is 60 mm in diameter. The gap height used for experimentation was 30 µm, which allowed for shear rates up to 300,000 s 1 to be explored. All measurements were conducted at 25 C, with temperature control of ±0.1 C provided by the Peltier element. A steady state shear rate ramp procedure was employed to probe for the shear thickening behaviorofthecmpslurries. Shearratesrangingfrom100s 1 to200,000s 1 wereexamined. Data resolution was increased at the higher shear rates in order to pinpoint the shear rate where thickening commences. Immediately following the shear rate ramping step, a shear rate reduction step tested the irreversibility of the shear thickening demonstrated by the 65

86 fumed silica slurries. The rheological procedure was extensively examined by Crawford et al. [127] and this measurement technique was found to be free of secondary disturbances such as wall slip, radial migration, viscous heating, and sample evaporation. The following sections begin by reviewing how zeta potential of the fumed silica particles is influenced through the addition of monovalent chloride salts. Next, the irreversible thickening behavior of CMP slurries as a function of added salt concentration is analyzed. Slurries with a single cation in both the ph altering base (KOH) and added salt (KCl) are studied as the control system. The analysis then progresses into examining mixed cation cases, where the slurry base has a different cation than the added salt. 5.4 Effect of ionic strength and cation adsorption dependence on zeta potential The zeta potential (ζ) examines the electrical double layer forces between particles in suspension, as both the potential and charge density are dependent on ph and ionic strength. Here, we examine the effects of salt on the surface potential of the slurry particles at a constant ph of The zeta potentials measured by electroacoustic spectroscopy range from -11 mv to -33 mv, across an added salt concentration range of 0.02 M to 0.15 M (Figure 5.1). In general, the slurries containing salts with the least hydrated cations (Cs + and K + ) display less negative potentials when compared to the more highly hydrated cations (Na + and Li + ). The relationship between ion hydration and zeta potential holds for all investigated salt concentrations except 0.12 M (where NaCl displays an abnormally weak zeta potential) and 0.03 M(where all of the salts induce a statistically similar zeta potential). The magnitude and change in zeta potential across a range of salt concentrations agree with both the Hofmeister series predictions and previous work [66, 67, 164, 165]. Conductivity measurements were also performed in conjuncture with the zeta potential measurements (Figure 5.2). The conductivity values from fully concentrated 25 wt% silica slurries ranged from 5 ms/cm to 23 ms/cm and agree in principle with the zeta potentials from Figure 5.1. Typically, a commercial grade CMP slurry is expected to have a conductivity value somewhere between 2 ms/cm to 12 ms/cm (this range is indicated by the dashed box 66

87 Figure 5.1: Zeta potential of 25 wt% silica slurries as a function of added CsCl (blue circles), KCl (black squares), LiCl (green stars), and NaCl (red triangles) concentration. Figure 5.2: Conductivity of 25 wt% silica slurries as a function of added CsCl (blue circles), KCl (black squares), LiCl (green stars), and NaCl (red triangles) concentration. The inset dashed rectangle indicates the range of conductivities (2-12 ms/cm) for commercial grade slurries. 67

88 in Figure 5.2). Again, the least hydrated cations (Cs + and K + ) induce higher conductivity values at a given salt concentration in comparison to Na + and Li + (Figure 5.2). The larger conductivities generated by Cs + and K + stem from their increased electrophoretic mobilities (unit charge per radius) in water. Even though Cs + and K + have larger atomic radii than Na + and Li +, Na + and Li + have much larger hydrated radii (Table 5.1) (with Li + having the largest hydrated radius of all four investigated cations) [170]. Since conductivity is inversely proportional to radius of hydration, the larger cations (Na + and Li + ) have smaller conductivity values. Therefore, a cation s hydration determines both its desire to bind to the surface of silica and its ability to move through solution (naturally and in the presence of an electrical field). Higher cation mobility coupled with stronger surface adsorption leads to more effective screening of a particle s surface charge (i.e., the salting out effect). 5.5 Adjusting thickening through the addition of KCl The irreversible thickening behavior of fumed silica slurries under high shear was recently investigated in detail by Crawford et al. [127]. Both in previous work and this study, the steady state shear viscosity of the examined slurries undergoes an abrupt and discontinuous transition from slightly shear thinning to a thickened state under high shear rates ( 10,000 s 1 ). Discontinuous thickening behavior, as observed in Figure 5.3, is typically synonymous with shear-induced jamming and/or confining boundary effects (as discussed in the Introduction) [106, 109, 111, 112]. The point at which this discontinuous jump in viscosity is observed, is considered the critical shear rate, γ c (as indicated by the dashed lines in Figure 5.3). During the shear rate reduction step, following the observed discontinuous jump in viscosity, the slurries not only remain thickened, but continue to thicken as the shear rate is reduced. The observed hysteresis is believed to be shear thinning in reverse. The formation of large particle agglomerates cause the aforementioned jump in viscosity and then as the applied shear force is decreased, the newly formed large particles misalign with the flow field. The shear force is no longer strong enough to keep these large particle agglomerates aligned 68

89 Figure 5.3: Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 25 wt% silica slurry with a concentration of added KCl, C KCl, of 0.03 M (black squares), 0.09 M (blue triangles), and a 0.15 M (red circles). in the direction of flow and this realignment disrupts the streamlined particle arrangement. The disruption of particle order results in a resistance to flow and an overall increase in viscosity. The shear rate at which a given slurry thickens can be altered through the addition of KCl (Figure 5.3). The addition of simple salts, like KCl, affects both the electrostatic repulsive interactions and short range hydration forces between particles [69]. Adding KCl to the slurry causes the K + to extract water molecules from the silica surface, decreasing the height of the hydration layer. In addition, the introduction of KCl to the slurry leads to charge screening between particles, reducing electrostatic repulsions. Therefore, increased ionic strength within the slurry expedites thickening through the reduction of repulsive (both hydration and electrostatic) forces and makes it easier to drive particles into near contact, forming irreversible agglomerates. The final viscosity at the end of the shear rate reduction step also increases with increasing KCl concentration (Figure 5.3). Adding salt to the slurry increases particle interactions and 69

90 as a result, more (and possibly larger) particle agglomerates are formed. The higher fraction of agglomerates within the slurry will generate a stronger resistance to flow, resulting in an overall larger apparent viscosity at the end of the flow curve. The critical shear rate at which the slurry thickens decreases linearly with increased KCl concentration (Figure 5.4a). The corresponding critical shear stress also decreases with increasing KCl concentration, but is less monotonic and weaker than the change in critical shear rate (Figure 5.4b). The linear correlation between critical shear rate and KCl concentration (the dashed line and associated expression in Figure 5.4a) is remarkable because the range of investigated KCl concentrations (0.02 to 0.15 M) induces an array of zeta potentials (-11 to -33 mv) that straddle the theoretical transition in electrostatic stability ( ±25 mv) [171, Chap. 3]. A suspension with a zeta potential greater than -25 mv (in magnitude) is considered electrostatically stable. Conversely, as the suspension s zeta potential is driven below -25 mv (i.e., becomes less negative), the particles grow increasingly unstable. As the KCl concentration increases and the zeta potential becomes less negative, particle agglomeration (or flocculation) should dominate over hydrodynamically induced thickening effects. However, the linear trend between KCl concentration and critical thickening shear rate persists even at high KCl concentrations (>0.1 M) and weak zeta potentials (<20 mv, in magnitude). Therefore, the shear-induced thickening behavior of the fumed silica slurries follows a consistent driving force across the examined range of KCl concentration, regardless of its electrostatic stability. The addition of salt in order to reduce the critical thickening shear rate in suspensions has been reported in earlier studies [69, 159, 172, 173]. Here, the thickening behavior of the fumed silica slurries not only shifted to lower shear rates at increased salinity, but was also heightened through the addition of KCl (Figure 5.3; note the larger jump in viscosity at higher KCl concentrations). More dramatic thickening at increased ionic strength could indicate that other interparticle interactions, not just electrostatic, are involved during the thickening process [173]. More pronounced thickening with increased salinity has been 70

91 reported in polymer systems and was credited to either enhanced hydrogen bonding [174] or increased hydrophobic interactions [175, 176] between polymer molecules. Shear thickening is believed to occur only in systems comprised of non-flocculating particles [86, 157, 177]. Gopalakrishnan and Zukoski [177] investigated the effects of particle interaction strength on shear thickening and the thickening shear stress was shown to decrease as a function of increasing interparticle attraction. Yet, as the interaction strength was increased to adequately induce flocculation, shear thickening behavior was reported to decrease until it completely disappeared. Gopalakrishnan and Zukoski [177] and Negi and Osuji [146] both claim that hydroclusters can still form in agglomerating systems, but the hydrodynamic contribution to viscosity is not strong enough to counteract the sizable decrease in the thermodynamic viscosity component; thus, shear thinning behavior is typically observed. Here, the fumed silica slurries not only display thickening behavior under flocculating conditions, but the extent of thickening becomes enhanced at large KCl concentrations. Therefore, we believe the observed irreversible thickening behavior is possibly a trade-off between hydrodynamically driven cluster formation (classical shear thickening) and irreversible agglomeration driven by close range attractions (i.e., cation enhanced particle bridging through bonding of the surface silanol groups). Another mechanism for shear thickening was recently developed by Osuji et al. [145] and Negi and Osuji [146], where the shear thickening response of carbon black suspensions under flocculating conditions was examined. Thickening in these systems is not driven by hydrocluster formation, but rather by the breakup of fractal clusters which results in an increase in the effective volume fraction of the system. The thickening generated by cluster breakup is continuous and moderate (showing 3x increase in viscosity), and displays shear thinning behavior before and after thickening (during both the ascending and descending shear rate ramps). Much like hydrocluster formation, the destruction of these dense fractal clusters is reversible. 71

92 Conversely, the fumed silica slurries presented here display discontinuous and irreversible thickening behavior. After thickening has commenced, the slurries continue to thicken during the descending shear rate ramp (displaying a 7x to 15x increase in viscosity at the end of the flow loop). Any changes in viscosity are permanent on the time scale of months. Both mechanisms, hydrocluster formation and fractal cluster destruction, are inadequate in fully describing the thickening behavior displayed by the investigated fumed silica slurries. Dynamic light scattering data published in our previous study [127], demonstrated that the average particle size of the slurry increases by over 100 nm after a shear thickening event. At this time, we believe that the irreversible shear thickening displayed by the fumed silica slurries is more likely due to a clustering process(devoid of reversibility) rather than a change in effective volume fraction caused by the breakup of dense fractal aggregates. However, key, fundamental, questions about the close range interactions between fumed silica particles and their connection to cation surface adsorption remain unanswered. 5.6 Ion specificity influences rheological behavior To this point, the slurries of interest were ph adjusted with KOH, and KCl was the added salt. Using both KOH and KCl ensured that a single cation was present in the slurry and that any changes in electrostatic interactions could be correlated with the adsorption of K + onto silica s surface. However, previous work [66,67,159,162,163,178] has shown that silica-cation adsorption is dependent on ion hydration, where the least hydrated ions (Cs + and K + ) will adsorb more readily than the more highly hydrated ions (Na + and Li + ). Conducting the same shear rate ramp and reduction experiment as discussed before, our objective was to examine if the slurry s thickening behavior followed the apreferential cation adsorption. Working at a constant salt concentration of 0.09 M, slurries with added chloride salts of CsCl, NaCl, and LiCl behaved according to the Hofmeister series(figure 5.5). Thickening occurred at the lowest shear rate when Cs + was present in the slurry and thickening continued to be prolonged as ion hydration was increased, moving to Na + and then Li + (the most hydrated cation in the series). The behavior of the slurries containing CsCl, NaCl, and 72

93 Figure5.4: a)criticalshearrate( γ c )asafunctionofaddedkclconcentration(c KCl )fora25 wt% silica slurry. The dashed line corresponds to a linear regression using a 95% confidence interval (the linear expression is displayed within the figure). b) Thickening shear stress as a function of added KCl concentration. 73

94 Figure 5.5: Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 25 wt% silica slurry with 0.09 M added CsCl (blue circles), KCl (black squares), NaCl (red triangles), and LiCl (green stars). LiCl agreed with observations from previous studies [66, 67, 159]. However, the irreversible thickening behavior of the slurry with added KCl did not correlate with the trend displayed by the other three salts (CsCl, NaCl, and LiCl). According to past studies on ion hydration [170,179], K + and Cs + are the least hydrated cations from the salts used in this study (with Cs + slightly less hydrated than K + ). Due to their lack of adsorbed water molecules, Cs + and K + bind more strongly and more willingly to silica s surface [67]. Therefore, at a given salt concentration, one would expect slurries with added CsCl and KCl to thicken at a similar shear rate. However, the slurry with added KCl thickens at a distinctively higher shear rate than all of the other investigated salts (Figure 5.5). Even though the critical shear rates of all the salt-adjusted, KOH stabilized slurries continue to decrease with increased salt concentration (regardless of salt cation), the slurries with added KCl consistently show a higher critical shear rate across the investigated salt concentration range of 0.02 to 0.15 M (Figure 5.6a). The delayed thickening behavior observed in the slurries with added KCl cannot be explained by electrostatics alone since the 74

95 Figure 5.6: Critical shear rate as a function of (a) added KCl (black squares), LiCl (green stars), NaCl (red triangles) and CsCl (blue circles) concentration and (b) measured zeta potential for a 25 wt% silica slurry. The dashed lines in (b) correspond to linear regressions using a 95% confidence interval (the linear expressions are displayed within the figure). 75

96 slurries with added CsCl and KCl had similar zeta potentials across the examined range of salt concentrations (Figure 5.1). Likewise, when the critical thickening shear rate is plotted as a function of particle zeta potential (Figure 5.6b) the slurries with added CsCl, NaCl, and LiCl all follow a single linear relationship between the critical shear rate and suspension zeta potential. However, the critical shear rates of the slurries with added KCl obey their own, discrete linear trend as a function of zeta potential that is distinctive from the other three salts. The slurries containing KCl appear to have additional close-range stabilizing forces that postpone thickening and are not present in the other salt-adjusted slurries. Recent work by Choi et al. [7] examined the cation dependence of silica agglomeration in CMP slurries using LiCl, NaCl, and KCl (CsCl was not included in their study). The authors reported that at a salt concentration of 0.1 M, LiCl and NaCl yielded larger agglomerates than the slurries with added KCl. LiCl and NaCl are more effective at inducing agglomeration than KCl because of their heightened ability to penetrate the hydration layer surrounding silica s surface. Thus, Choi et al. [7] further conclude that ionic species play a key role in particleparticle interactions, particularly at the particle-water interface. The work by Choi et al. [7] supports the witnessed increase in critical shear rate for the slurries with added KCl when compared to the slurries with added LiCl and NaCl. However, their results give no justification for the discrepancy in thickening behavior between slurries withkclandcscl. K + andcs + bothhavesimilarchargescreeningeffects(figure5.1), ionic radii (both hydrated and unhydrated; Table 5.1), and are expected to interact with silica s hydration layer in an analogous fashion. Therefore, previously reported cation-particle surface interaction forces (both hydration and electrostatic) do not fully describe the thickening results portrayed in Figure 5.6a and b. Another plausible explanation for the observed increase in critical shear rate for the slurries with added KCl could be a common ion effect. All of the slurries were originally ph adjusted with KOH and the prolonged thickening was only observed when a single counterion, K +, was present in the slurry. The cations from the slurry base and added salt 76

97 compete for adsorption preference onto the fumed silica surface. The next section addresses the question: Is the competition between cation adsorption distorted when a mixture of counterions exists within the slurry (i.e., the stabilizing base and added salt have differing cations)? 5.7 Slurry base alters thickening behavior Inordertoexaminetheexistenceofacommonioninteractionbetweentheslurrybaseand added salt, two additional slurries ph adjusted with 1) CsOH and 2) NaOH were obtained (the use of LiOH was omitted due to safety concerns). If the postponed thickening observed with the KOH-KCl base-salt pair was caused by a common ion effect, then we should also witness increased critical shear rates with the CsOH-CsCl and NaOH-NaCl combinations. Figure 5.7: Critical shear rate as a function of slurry base (symbol color) and added salt (symbol shape). The investigated 25 wt% silica slurries were ph adjusted with CsOH (blue), KOH (black) and NaOH (red) and contained added KCl (filled squares), LiCl (open stars), NaCl (filled triangles), and CsCl (open circles). As witnessed previously (Figure 5.6a), the critical thickening shear rate decreased with increasing salt concentration regardless of the cation in the added salt. The critical shear rate continues to decrease with increased ionic strength irrespective of the slurry base, added salt, 77

98 or any combination of the two (Figure 5.7). However, the common ion effect, observed with K +, was not detected in the slurries ph adjusted with CsOH and NaOH. At two of the salt concentrations ( 0.06 and 0.12 M) the CsOH-CsCl combination display the largest critical shear rates, but the error bars in Figure 5.7 indicate that these increased thickening shear rates are not statistically different from the other CsOH data at the given salt concentration. No common ion interaction was observed for the slurries with NaOH and NaCl as well. Thus, the increased critical shear rate observed in the slurries with KOH and KCl cannot decisively be attributed to a common ion type stimulus. While common counterion effects were not observed, other trends can be extracted from this study. Overall, the slurries with CsOH as the ph adjusting agent showed significantly larger critical shear rates than the other two slurries containing KOH and NaOH(Figure 5.7). Previously, we discussed how the hydration of cations will affect their ability and willingness tobindtosilica ssurface(i.e.,themorehydratedacationthelesslikelyitistoadsorbonsilica and vice versa). Thus, Cs + adsorbs stronger to the surface of silica than Na + and Li +. The increased critical shear rate observed with CsOH disagrees with the expected ion-adsorption sequence. Cs + is the least hydrated ion in the investigated series and as a result, should have a larger charge screening effect at a given electrolyte concentration and trigger shear-induced agglomeration at lower shear forces. However, the opposite trend is observed amongst the ph adjusting bases; the less hydrated the cation, the higher the critical thickening shear rate (i.e., slurries ph adjusted with CsOH thicken at higher shear rates than slurries ph adjusted with KOH and NaOH). The delayed shear-induced thickening observed in the slurries with CsOH as the added base is most likely due to an additional close range repulsive force. Previous studies have indicated that silica coagulation is not solely dependent on ion hydration, but is also reliant on cation atomic size and the cation s interaction with silica s hydration layer [7,78,162,180,181]. Colic et al. [181] and Chapel [78] witnessed short range repulsive forces at high salt concentrations that also contradicted accepted ion hydration models (as discussed above). Colic et al. [181] attributed the close range repulsion to the 78

99 Figure 5.8: Schematic of the adsorption of Cs +, K +, Na +, and Li + onto a hydrated silica surface. Small and readily hydrated counterions (Na + and Li + ) penetrate deeper into the hydration layer of oxides (such as silica) than larger, weakly hydrated cations (Cs + and K + ). This figure is an adaption of a graphic published in Colic et al. [181]. size of the unhydrated cation, reporting strong agreement with the reference-hypernetted chain (RHNC) statistical mechanics model developed by Torrie et al. [182]. As indicated by the RHNC model, the hydration layer surrounding charged surfaces favorably attracts smaller ions with a high affinity for water. These small, strongly hydrated ions (Na + and Li + ) preferably assemble near the charged surface where water is highly polarized and more structured. Larger ions (Cs + ) prefer to remain outside the hydration layer, resulting in close range repulsive forces of a greater magnitude (Figure 5.8) [181]. Therefore, the physical volume that the cation occupies is a hindrance to silica surface adsorption and extends the reach of short range repulsive forces (the Cs + ion sits further away from the silica surface in Figure 5.8); explaining why slurries ph adjusted with CsOH displayed increased critical thickening shear rates. Even though slurry thickening behavior in relation to the base cation can be explained by the RHNC model, the irreversible thickening behavior displayed by the examined fumed silica slurries does not consistently agree with previous silica stability studies. Slurries stabilized with KOH and then charge screened through the addition monovalent chloride salts, 79

100 show a strong correlation between critical shear rate, cation hydration, and particle surface charge; indicating an electrostatically controlled shear-induced agglomeration mechanism. Yet, slurries with the base-salt combination of KOH-KCl display a prolonged thickening behavior that is still governed by electrostatics, but to a lesser extent than the KOH stabilized slurries with added LiCl, NaCl, and CsCl (Figure 5.6b). The delayed thickening exhibited by the KOH-KCl combination cannot be explained by a common ion interaction or by K + s weak affinity for the hydration layer surrounding silica s surface. Are there additional close-range stabilizing forces present in the KOH-KCl slurries (that are absent in the slurries with the other KOH-salt pairs), which lead to enhanced critical thickening shear rates? Or does interplay between shear-induced particle agglomeration and hydrocluster formation (i.e., classical shear thickening) lead to the complex irreversible thickening behavior and its abnormal cation dependency? 5.8 Discussion Since the start of colloidal shear thickening research, investigators have been linking particle properties to thickening behavior [86, 88, 94, 98, 144, 159]. For example, Barnes [86] evaluated the governing factors that drive shear thickening, such as: particle size, shape, size distribution, and interparticle interactions (to name a few). Models were then developed for shear thickening through a balance of shear forces and particle interactions [88, 144]. More recent work by Melrose and Ball [183] examined the relaxation time required for a particle pair to decouple (i.e., devoid of cluster formation). In order for hydroclusters to materialize, the characteristic relaxation time must be longer than the time required to convect two particles together through shear forces. The compression of hydroclusters was described by the ratio of hydrodynamic interparticle resistance forces to the effective spring constant between a particle pair. However, this approach was not predictive because it required the use of measured values for the critical thickening shear stress. As a result, Maranzano and Wagner [95, 96] devised a scheme that allowed the method created by Melrose and Ball [183] to be fully predictive, leading to an expression based on independent 80

101 measurements of particle size and concentration, surface potential, and ionic strength. Using the critical stress model developed by Maranzano and Wagner [95, 96] and a measured value for slurry viscosity (η=0.003 Pa s), we estimated the critical shear rate at which shear thickening should occur for the fumed silica slurries. When compared to the measurements in Figure 5.7, theory over predicts the critical thickening shear rates by five to ten-fold. The model established by Maranzano and Wagner [95,96] was intended to predict hydrocluster formation for suspensions of spherical particles under non-flocculating conditions (zeta potentials from -42 to -90 mv). In our case, the slurries consist of highly non-spherical fumed silica particles and have a considerable amount of added salt (>0.1 M), leading to a suspension that is not thermodynamically stable (zeta potential less than -20 mv in magnitude). The measured thickening shear rates are less than the theoretical values because the shearinduced agglomerates in this study are not being held together solely by hydrodynamic forces. The fumed silica aggregates exhibit increased attraction at high ionic strengths, forming irreversible agglomerates under shear. Thus, the irreversible thickening displayed by the investigated fumed silica slurries cannot be fully described by hydrocluster theory. As a result, the thickening behavior could be more in agreement with shear-induced particle agglomeration theory (i.e., the experimental critical shear rate signifies when hydrodynamic forces overwhelm colloidal stability forces). Typically, colloidal stability is described by the DLVO theory as a balance between attractive van der Waals interactions caused by induced dipoles (defined as a negative interaction) and repulsive electrostatic interactions, which are the dominant repulsive force in aqueous solutions (classified as a positive interaction) [58, 59]. Under flow, the colloidal interaction forces depicted by the DLVO theory are counterbalanced by hydrodynamic shear forces; where the hydrodynamic force acting on two particles is described in the work of Boersma et al. [144] and Bender and Wagner [94]. When the hydrodynamic shear force overpowers the colloid interaction forces, shear-induced thickening and/or particle agglomeration will transpire. Using this force balance, a critical shear rate can be extracted and compared to 81

102 experimental results. In contrast to hydrocluster theory, the calculated critical shear rates were 1 to 3 orders of magnitude lower than the experimental critical shear rates (Figure 5.7). The large discrepancy between the critical shear rates determined using the classical DLVO theory and our experimental results, could indicate that additional short-range stabilizing forces exist that are not predicted by the DLVO theory. Although the DLVO theory has become the foundation of colloidal stability studies, it has some inherent limitations and has failed to adequately represent certain experimental results [56, 61 69]. The inconsistencies between the DLVO theory and experimental data are exacerbated at high counterion concentrations and small separation distances (less than a few nanometers) [56, 61, 62, 67, 70]. Recent development of surface force measurement techniques have uncovered the existence of short-range forces [63, ] (not predicted by the DLVO theory), known as structural forces (commonly referred to as hydration forces). For hydrophilic solids(like silica) these structure forces are repulsive and have been attributed to the hydration of adsorbed counterions [76, 78, 188, 189] and ionic functional groups on the surface [190]. These short-range hydration forces were found to be strong, prevailing over van der Waals attractions. However, the comprehension of close-range structural forces is still in development. Currently, the structure force is commonly described as a single exponential decay expression and is a function of interparticle separation distance, decay length, and a pre-exponential structure force constant [68,70,78,184, ]. The dilemma is that all of these parameters (particle separation distance, decay length, and structure force constant) can vary significantly with ionic strength, ph, and cation species (among other experimental variables) and are typically obtained by fitting experimental results to empirical expressions. Thus, the employment of structure force models is not a predictive method and regrettably, they cannot be used to explicitly validate our experimental critical shear rate data. With that said, we performed general structure force calculations which agreed (in magnitude) with previously reported values for both the decay length and structure force constant [190, 191, 193]. 82

103 Indicating that the irreversible thickening behavior displayed by the fumed silica slurries is most likely dependent on short-range structure forces, which strongly rely on ionic strength, cationic species of both the slurry base and added salt, and their interaction with one another. 5.9 Conclusions Under high shear rates ( 10,000 s 1 ), fumed silica CMP slurries discontinuously and irreversibly thicken, and the shear-induced thickening of these slurries can be adjusted through the addition of monovalent chloride salts (CsCl, KCl, NaCl, and LiCl). The critical shear rate at which each slurry thickens decreases linearly as the strength of interparticle attraction grows (i.e., salt concentration is increased and zeta potential is decreased). The linear trend in critical shear rate as a function of salt concentration endured even as the slurry zeta potential approached the realm of colloidal instability (<20 mv, in magnitude). When the critical thickening shear rate was plotted as a function of particle zeta potential, slurries containing CsCl, NaCl, and LiCl followed a clear, downward-sloping linear trend; indicating that the observed thickening is highly dependent on electrostatic repulsive forces. In this study, shear thickening not only occurred under the presence of charge screening cations, but the magnitude of the thickening response appeared to increase with increasing ionic strength (agreeing with several previous publications). However, an anomalous, increased critical thickening shear rate was observed when the slurry was stabilized with KOH and then charge screened through the addition of KCl. The critical shear rates of the slurries containing the base-salt pair of KOH-KCl, also decreased linearly with decreasing zeta potential, but followed a clearly different trendline from the other added monovalent chloride salts (CsCl, NaCl, and LiCl). Additional studies with slurries containing ph altering bases of NaOH and CsOH, discounted the possibility of a common ion-induced stability effect. When the slurry base was changed from KOH to CsOH and NaOH, slurry thickening remained dependent on ionic species, but was more reliant on the cation s ability to infiltrate 83

104 silica s hydration layer. Small, well hydrated cations (Na + and Li + ) penetrate deeper into the hydration layer of silica than larger, weakly hydrated cations (Cs + and K + ). The denial of poorly hydrated cations into silica s hydration layer increases the reach of close-range repulsive forces. As a result, slurries ph adjusted with CsOH thicken at larger critical shear rates than slurries containing KOH and NaOH. The irreversible thickening behavior exhibited by the investigated fumed silica slurries appears to be a complex interplay between cation hydration, silica surface hydration, and hydrodynamic shear forces. Adding salts to the slurry undoubtedly reduces colloidal repulsions (both electrostatic and hydration) and makes shear-induced thickening less strenuous. However, the interaction between the silica surface and the slurry base and added salt cations is quite intricate. The adsorption exchange between the base and salt cations drives slurry stability and the observed thickening behavior. Moving ahead, we will focus our efforts on a particle level to help elucidate the driving forces behind the unusual irreversible thickening of these fumed silica slurries Acknowledgments The authors would like to thank the National Science Foundation (CBET and DMR ) for providing the funding for this work. Also, we acknowledge Cabot Microelectronics Corporation for supplying the slurries and for allowing us to share our findings. A special thanks to Benjamin Yohe for his help collecting the rheological measurements for this study. 84

105 CHAPTER 6 INVESTIGATING SLURRY SHEAR THICKENING USING HIGH SHEAR RHEO-SALS In order to link the observed shear thickening response to changes in the slurry s microstructure, in situ small-angle light scattering during rheological characterization was utilized (a technique deemed rheo-sals). The purpose of rheo-sals is to gain better understanding of how particle size is altered under flow and how these changes to particle size correlate with slurry shear thickening. SALS images can provide new insight into the unique discontinuous and irreversible thickening behavior of the examined fumed silica slurries. A version of this chapter will be submitted for publication under the title Shear-induced thickening of fumed silica CMP slurries using high shear rheo-sals. 6.1 Abstract In this study, we examine the shear thickening of a 25 wt% fumed silica slurry with 0.17 M added KCl using in situ small-angle light scattering during rheological characterization (rheo-sals). The salt-adjusted slurry displays a 3-fold increase in viscosity at a critical shear rate of 20,000 s 1 during a stepped shear rate ramp from 100 to 25,000 s 1. As the shear rate is reduced back to 100 s 1, the slurry thickens irreversibly displaying a final viscosity that is 100-times greater than the initial viscosity. Corresponding rheo-sals images indicate the formation of micrometer scale structures(2-3 µm) that directly correlate with the discontinuous and irreversible shear thickening behavior of the fumed silica slurry; yet, the nominal particle diameter of the slurry is 0.2 µm. Scattering was only observable during and after thickening, no scattering was detected in the absence of thickening. Dynamic light scattering was employed to size the slurry particles after shearing and to corroborate the presence of micron sized structures indicated by the rheo-sals patterns. A significant population of large particles from 0.3 to 0.7 µm were detected exclusively in the particle size distribution of the shear thickened sample. However, substantial micron scale agglomerates 85

106 were not evident in the thickened slurry; suggesting transient agglomeration or scattering coincidence within the rheo-sals apparatus. 6.2 Introduction Recent rheological studies have shown that fumed silica CMP slurries will shear thicken under high shear rates (>10,000 s 1 ) [68,69,127]. Investigators have been able to tune the slurries thickening response by adjusting both ionic strength [68, 194] and particle surface hydration [69]. The shear thickening behavior of these modestly concentrated ( 25 wt%) fumed silica slurries is discontinuous in nature and unlike classical shear thickening systems, is irreversible. The unique thickening of these slurries continues to puzzle researchers, displaying unexplained cation dependencies in the presence of added salts [194] and additional close range stabilizing forces [69, 194] not included in Derjaguin-Landau-Verwey-Overbeek (DLVO) theory [58,59]. Although multiple studies have examined the high shear rheological behavior of these fumed silica slurries, little work has been done to elucidate the underlying mechanism(s) of the observed irreversible thickening behavior. In order to gain a greater understanding of the driving force(s) behind the slurries irreversible thickening behavior, a more robust method than standard bulk rheology must be employed. Currently, a substantial body of literature exists for reversible shear thickening systems and their study using rheo-optical techniques[93 95,98,99,102,124]. Rheo-optics are based on the notion that a material s microstructure controls its rheology and that material deformation has a distinct optical fingerprint [195]. The optical signature of a material under flow can then be related to microstructural changes (i.e., orientation, size parameters, phase behavior, etc.). The choice of optical technique (i.e., birefringence, light scattering, Raman spectroscopy, optical microscopy, etc.) is governed by the material s optical properties, the level of microstructure to be quantified, and the size scale of interest. For this study, we are concerned with correlating viscosity changes (i.e., shear thickening) with changes in particle size and orientation under flow. Therefore, rheological characterization coupled with in situ small-angle light scattering (rheo-sals) will be employed. Rheo- 86

107 SALS probes for shear-induced structure formation on the micron length scale ( 1-5 µm), a size range 5x to 10x larger than the nominal particle diameter (0.1 to 0.2 µm) of the fumed silica particles. However, the large agglomerates ( 0.5 µm) believed to be responsible for generating CMP-induced defects [1, 17, 18, 196] and the reported shear thickening behavior should be detectable by rheo-sals. Ideally, the utilization of SALS with rheological characterization will allow for real time analysis of shear-induced structure formation and their correlation with changes in viscosity. To our knowledge, this study is the first observation of a shear thickening system using rheo-sals under high shear ( 10,000 s 1 ). Here, the formation of a detectable scattering pattern directly correlates with the thickening response of the slurry. As the scattering pattern evolves throughout the slurries flow curve, size and orientation of the shear-induced agglomerates are determined; allowing for a conceptual picture of the shear-induced structures during the lifetime of the measured thickening behavior. This study provides insight into the mechanism behind the irreversible thickening behavior of these fumed silica slurries and links the observed thickening to the formation of micron sized structures, which are believed to be the source of CMP-induced defects. 6.3 Experimental Methods For this study, 25 wt% fumed silica slurries (d=160±11 nm, determined via dynamic light scattering) with and without the addition of salt (0.17 M KCl) were employed. The fumed silica aggregates (Figure 4.1) were electrostatically stabilized at ph 11 (well above silica s isoelectric point of ph 2) through the addition of KOH. All slurry material was provided by Cabot Microelectronics Corporation (Aurora, IL) and was a simplified version of the commercial product; consisting of fumed silica, water, and KOH. Concentrated slurries (34.7 wt%) were diluted to a target solids fraction of 25% using ultrapure DI water (18 Ω) and a 0.66 M KCl solution (Mallinckrodt Chemicals, Phillipsburg, NJ). The final KCl concentration (C KCl ) for the salt adjusted slurry was 0.17 M. After dilution, slurries were stored under ambient conditions for 24 hours before commencing rheological tests. 87

108 Rheology and SALS data were collected simultaneously using an AR-G2 rheometer (TA Instruments, New Castle, DE) with the commercially available SALS attachment. A transparent, quartz parallel-plate configuration (50 mm diameter) with a 100 µm gap was used for all tests. The commercial laser housing includes a lens that is embedded into the Peltier plate surface to allow the light source to traverse through the sample thickness (i.e., the gradient direction). The transition from the Peltier surface to the lens is rough to the touch and can disrupt the flow pattern, leading to erroneous results at small gap heights ( 300 µm). Therefore, an additional transparent plate was affixed to the Peltier plate using vacuum grease to establish a smooth bottom surface. The added plate is housed in a metal surround for additional stability during experimentation (Figure 6.1). All measurements were conducted at 20 C, with temperature control of ±0.1 C provided by the Peltier plate. Figure 6.1: Photograph (left) and schematic (right) of the modified TA Instruments SALS attachment used for shear rates >10,000 s 1. Schematic adapted from [198]. A stepped shear rate ramp (and reduction) was employed to monitor the slurries flow behavior at shear rates from 100 to 30,000 s 1. Each shear rate was held constant for 35 seconds, while rheological data were collected every 3 seconds and SALS images were 88

109 captured every 10 seconds (instrument limit). Rheo-SALS tests were repeated in triplicate (at minimum) to confirm reproducibility. Rheo-SALS information was averaged over the peak hold time (35 seconds) and a single viscosity and scattering pattern are reported per measured shear rate. The size scale of scattering objects captured by this SALS setup is µm (q= µm 1 ). SALS images were analyzed using ImageJ with standard protocols for subtracting the background and removing the beam stop from the raw images [197, 198]. The characteristic length (a C ) and aspect ratio (a R ) of the shear-induced structures were determined using Debye-Bueche [199] plots (I 0.5 vs q 2 ) [124,200,201]. A linear fit to the radially averaged data plotted in the Debye-Bueche format was calculated for each scattering image using the method of least squares (example plot included Appendix B). The slope and intercept of the linear fit were used to calculate a C (a C =[slope/intercept] 0.5 ) for the scatterinducing structures. A calibration of the Debye-Bueche characteristic length was performed using a Microbead NIST Traceable Particle Size Standard (Polysciences, Inc., Warrington, PA) of polystyrene microspheres (3 µm diameter). A correction factor was determined by comparing the calculated a C with the reported diameter of the standard. This correction factor was then applied to our calculations of a C for the fumed silica slurries. In addition, the orientation of the shear-induced structures was analyzed using the aspect ratio of the scattering patterns; determined by taking the ratio of the q values in the vorticity and flow directions for a given value of the measured intensity. Particle sizing, before and after a rheo-sals experiment, was achieved via dynamic light scattering (DLS). Before commencing DLS analysis, fully concentrated (25 wt%) slurries (collected directly from the rheo-sals tooling) were diluted 1:10 4 (by volume) using a stock solution of KOH (ph=11). DI water was not used for dilution to prevent particle agglomeration by altering the particles surface charge through ph adjustment. Brookhaven Instruments (Holtsville, NY) ZetaPALS at a scattering angle of 90 was employed for DLS sizing. Rheology of the samples used for DLS analysis can be found in Appendix B Figure B.3. 89

110 Three slurry samples were used for DLS analysis, two non-thickened samples (with and without 0.17 M KCl) and one shear thickened sample with 0.17 M KCl. The non-thickened sample with no added salt was exposed to a shear rate ramping procedure from 100 to 30,000 s 1 and then back to 100 s 1 ; displaying no signs of thickening or scattering. For the no thickening sample with added KCl, the shear rate was ramped from 100 s 1 up to 10,000 s 1 (and back), stopping just before thickening begins. The shear thickened sample with 0.17 M KCl was ramped from 100 to 25,000 s 1 and then back to 100 s 1, displaying discontinuous and irreversible shear thickening behavior. Rheo-SALS images were collected for all slurry samples. 6.4 Rheo-SALS During Shear Thickening The rheology of the 25 wt% fumed silica slurries undergoes a dramatic change as a function of shear rate. Slight shear thinning behavior is exhibited with increasing shear rate from 100 to 15,000 s 1, where a 30% decrease in viscosity is observed. Shear thinning is a common response displayed by suspensions of non-spherical colloids like fumed silica, because the particles have a preferential orientation under flow [11, 12, 87, 121]. As the shear rate increases, asymmetrical particles tend to align their long axis with the direction of flow, creating a lower overall energy state. The orientation of the particles reduces the suspension s resistance to motion, which leads to a decrease in the system s viscosity (i.e., shear thinning). The fumed silica slurries viscosity is compared to the flow curve for DI water (Figure 6.2). As expected, DI water behaves as a Newtonian fluid displaying a viscosity that is virtually independent of shear rate. However, once the shear rate is increased to 20,000 s 1 the slurry makes an abrupt transition from shear thinning to shear thickening (indicated by the dashed line in Figure 6.2). The 3-fold increase in viscosity displayed by the fumed silica slurry at 20,000 s 1 is deemed discontinuous shear thickening and has been observed in previous work [68, 69, 127, 194]. Discontinuous thickening behavior is commonly synonymous with shear-induced jamming [106,125,133, ] and is influenced by the rigid boundary along the outer edge of the 90

111 Figure 6.2: Stepped shear rate ramp (filled symbols) and reduction (open symbols) for DI water (triangles) and a 25 wt% silica slurry with a concentration of added KCl, C KCl, of 0.17 M (squares). Letters (a) to (h) and (w) to (z) correspond to the locations of the reported SALS images in Figure 6.3 for the slurry and water samples, respectively. parallel-plate geometry (i.e., the liquid-air interface) [109, 111]. The confining walls of the rheometer hinders particle cluster growth causing nonlinear increases in viscosity. In severe cases, the restricted growth of these shear-induced clusters can lead to a complete jamming of the suspension s microstructure (i.e., shear-induced jamming). Discontinuous thickening behavior and prospective jamming, is augmented with increasing particle anisotropy (i.e., rod- or disk-like particles). Highly non-spherical particles like fumed silica can rotate under flow, causing them to interlock and disrupt the suspension s network [155]. The entanglement of randomly oriented, anisotropic fumed silica aggregates can lead to shear thickening behavior at lower particle loadings (φ <0.5) than comparable hard sphere suspensions. Current theory states that shear thickening by hydrocluster formation is a completely reversible phenomenon and any increases in viscosity are destroyed upon the cessation of applied shear. However, the thickening behavior displayed by the 25 wt% fumed silica slurry (C KCl =0.17 M) is completely irreversible and its viscosity continues to increase during the 91

112 shear rate reduction step Figure 6.2). As the shear rate is decreased to 100 s 1, the slurry s viscosity thickens by more than 100x. The irreversible thickening behavior observed here agrees with previous fumed silica slurry thickening studies [68, 69, 127, 194] and most likely follows a slightly different mechanism than what is described in hydrocluster theory. Figure 6.3: Small-angle light scattering images as a function of increasing shear rate (a-d) and decreasing shear rate (e-f) for the 25 wt% silica slurry (C KCl =0.17 M). Background scattering patterns for the DI water sample are shown in images (w) to (z). The flow direction is from left to right in all images. Two-dimensional SALS images provide information about the microstructure of a fluid as a function of applied deformation. Overall, the slurry transitions from almost no scattering (the nearly black images in Figure 6.3a and b) to a distinct scattering pattern at 20,000 s 1, which correlates with the observed thickening response (Figure 6.3d). Completely black images are witnessed at all shear rates for the DI water sample (images w through z in Figure 6.3) and at the lower shear rates (100 to 10,000 s 1 ) for the 25 wt% silica slurry (Figure 6.3a and b). No scattering indicates that only sub-detectable particles (<1.0 µm) are present in the slurry prior to thickening. Once the shear rate is increased to 15,000 92

113 s 1, a small scattering pattern begins to develop during the 35 second peak hold experiment (Figure 6.3c); suggesting the spawning of micrometer sized structures. However, once the shear rate reaches 20,000 s 1, a high-intensity scattering image is clearly evident (Figure 6.3d). This distinct scattering pattern signifies the formation of micron scale agglomerates that are consistent with the discontinuous thickening behavior in Figure 6.2. The scattering reported in Figure 6.3d appears to be slightly elongated in the vorticity direction, indicating particle alignment with the direction of flow (images represent length scales in inverse space). After discontinuous thickening is observed and the shear rate is reduced back towards zero, intense scattering patterns persist as the slurry continues to thicken (images e through h in Figure 6.3). The SALS images post-thickening seem more circular in shape than the initial pattern witnessed in Figure 6.3d. The circular scattering patterns may suggest the formation of uniform agglomerates that do not have a preferential orientation after thickening. However, when comparing the shear rate ramp images with the shear rate reduction images in Figure 6.3, a substantial amount of the scattering is clearly evident during and after thickening (Figure 6.3d through h) that does not exist prior to the observed thickening response (Figure 6.3a through c). The scattering intensity maps from Figure 6.3 were integrated over the entire detector area (integration angle φ from 0 to 360 and scattering angle from 7.6 to 27.3 ) and a full circular sum of the radially average intensity was obtained (I sum ). As the shear rate is increased little to no substantial scattering is observed until thickening commences (Figure 6.4). Scattering is most intense at 20,000 s 1 where the discontinuous jump in viscosity is observed. The I sum at 20,000 s 1 is 5-times greater than the corresponding I sum value just prior to thickening at 15,000 s 1. Scattering intensity remains elevated after thickening and is fairly constant during the shear rate reduction step (Figure 6.4). The scattering intensity values suggest the thickening of the fumed silica slurry is connected to the formation of micrometer sized agglomerates that originate during the initial discontinuous jump in viscosity and persist along with the hysteresis in viscosity. 93

114 Figure 6.4: Full circular sum (φ from 0 to 360 ) of the radially averaged intensity (θ from 7.6 to 26.3 ) I sum as a function of shear rate for the 25 wt% slurry (C KCl =0.17 M). All data correspond to the SALS patterns presented in Figure 6.3. The characteristic size (a C ) and aspect ratio (a R ) of the shear-induced agglomerates were derived from the radially averaged intensity using Debye-Bueche plots (see Figure B.2 inappendixb). Theagglomeratesrangedinsizefrom2to3µm(trianglesinFigure6.5)and theaspectratioremainedfairlyconstantaroundavalueof 1(circlesinFigure6.5). Aslight increase in the aspect ratio to 1.3 is witnessed at the critical thickening shear rate (20,000 s 1 ) where an elongation of the scattering pattern in the vorticity direction was observed. Theincreaseina R thoughminor, mayindicateagglomeratealignmentwiththeflowdirection or a system instability that relates to the discontinuous increase in viscosity. However, no significant change in a R is observed during the shear rate reduction step. Furthermore, the characteristic length increases to 2 µm at 20,000 s 1 and then remains near 2.5 µm for the remainder of the flow curve. The rheo-sals data suggest that the initial discontinuous jump in viscosity is caused by the formation of micrometer scale agglomerates. These agglomerates remain intact as 94

115 Figure 6.5: Characteristic length (a C ) and aspect ratio (a R ) calculated from the real-time SALS images shown in Figure 6.3 for the 25 wt% slurry with 0.17 M KCl. The horizontal dashed line refers to the nominal particle diameter of the fumed silica slurry determined via dynamic light scattering. the shear rate is increased to 25,000 s 1 and reduced back to 100 s 1. The growth in slurry viscosity during the shear rate reduction step (i.e., irreversible thickening) is not caused by the formation of larger agglomerates. The agglomerate size remains fairly constant during and after thickening. The observed increase in viscosity during the shear rate reduction step is most likely a shear thinning in reverse response. The shear-induced agglomerates misalign as the shear rate is reduced and the increased disorder in the system leads to a stronger resistance to flow (i.e., an increased viscosity). 6.5 Connecting SALS Patterns to Particle Agglomeration Corroborating the existence of micrometer scale agglomerates within the slurry matrix is a daunting task and few studies have been able to verify their presence [1,17,18,196]. These large particles commonly escape detection due to their relatively small population (<1 ppm) and the continual evolution of the slurry [1,15,17,18,202,203]. Rheo-SALS can identify the 95

116 formation, shape, and size of these agglomerates in real-time, while under flow, and before these large particles are disbanded either upon the stoppage of shear and/or by sample prep (i.e., through dilution or agitation). Nonetheless, we employed dynamic light scattering (DLS) to verify the rheo-sals patterns which indicated the presence of micrometer scale particles within the slurry. The particle size distributions (PSDs) of the sheared slurries, with and without thickening, were to fit Gaussian curves (Figure 6.6). The shear thickened sample was fit to a Edgeworth-Cramer dual-peak function due to its broad and possibly bimodal distribution (Figure 6.6c). Overall, PSDs of the non-thickened samples (Figure 6.6a and b) are narrow, with polydispersity indices close to 0.1 (indicating nearly monodisperse samples). The two non-thickening samples with and without salt have peak maximum diameters (from the Gaussian fits) of 208 nm and 195 nm, respectively. The increase in average particle size of the non-thickened salt added case is most likely due to salt-induced agglomeration (an expected result for such a high ionic strength sample [60, 159, 161]). However, the shear thickened sample has a much broader size distribution (Figure 6.6c) with peak maximum diameters at 170 nm and 394 nm. A measurable population of large particles ranging from 300 to 700 nm is clearly evident in the shear thickened sample, suggesting the formation of shear-induced agglomerates. The noticeable population of large particles is not observed in the size distributions of the non-thickened samples and these sizeable particles appear to be directly associated with the measured thickening response. However, there was no clear indication of particles in the micron size range within the shear thickened sample (as implied by the SALS images). Dynamic light scattering instruments typically have an upper size threshold of 1 to 2 µm and therefore, are not the most appropriate tool to size particles in the 2 to 3 µm range. Microscopy would be a better method for sizing such a broad size range (0.1 to 10 µm), but many challenges arise when using current microscopy techniques (see discussion in Section 7.6 for details). Potentially, these shear-induced agglomerates are going undetected because 96

117 Figure 6.6: Particle size distribution for the 25 wt% slurry with no added salt (a) and 0.17 M KCl without (b) and with (c) thickening. The solid lines represent standard Gaussian fits, (a) and (b), and an Edgeworth-Cramer dual-peak function (c). Intensity average particle diameters are reported for their respective samples. 97

118 of the techniques and sample preparation employed. Nevertheless, there is still no denying the distinct change in the PSD from the thickened and non-thickened samples. In addition, it is possible that super-micron particles exist in very small populations (<1 ppm) as suggested in Section 7.6 and are lost in the particle size distribution. Yet, this seems unlikely since the scattering images observed in Figure 6.3 were robust and reproducible. One would assume that more than 1 ppm of micrometer scale particles would be needed to see such prevalent scattering. Possibly these micron sized agglomerates are transient to some degree and their structure is destroyed upon the cessation of flow and through sample dilution; leaving only a small number of shear-induced agglomerates intact for further analysis. Perhaps the observed SALS patterns are not from 2 to 3 µm scale particles at all, but from multiple sub-micron scale scatterers. As indicated from the DLS results, 2% of the shear thickened slurry s particle population exists from 300 to 700 nm. A significant amount of these medium sized particles were not observed in the non-thickened samples. Therefore, it is probable that several of these medium sub-micron particles (300 to 700 nm) interact with the incident light source and scatter light as a single micron scale agglomerate (i.e., scattering coincidence). More work is currently underway to help resolve these findings. 6.6 Conclusions Overall, real-time rheo-sals images indicated the formation of micrometer scale structures (2-3 µm) that directly correlated with the discontinuous and irreversible shear thickening behavior of the fumed silica slurries. Distinct SALS patterns were only observable during and after thickening. No scattering patterns were detected in the absence of thickening. Using dynamic light scattering, a significant population of large particles from 300 to 700 nm were detected exclusively in the particle size distribution of the shear thickened sample. However, substantial micron scale agglomerates were not evident in the thickened slurry; suggesting possible transient agglomeration or scattering coincidence within the rheo-sals apparatus. 98

119 6.7 Acknowledgments The authors would like to thank the National Science Foundation (CBET ) for providing the funding for this work. Also, we acknowledge Cabot Microelectronics Corporation for supplying the slurries and for allowing us to share our findings. 99

120 CHAPTER 7 SLURRY RHEOLOGICAL CHARACTERIZATION WHILE POLISHING A version of this chapter was submitted to Colloids and Surfaces A: Physiochemical and Engineering Aspects under the title Shear thickening and defect formation of fumed silica CMP slurries. 7.1 Abstract In this study, we have developed a methodology for the synchronized measurement of rheological behavior while polishing a semiconductor wafer, the first of its kind (a technique termed rheo-polishing). We investigate the shear thickening of a 25 wt% fumed silica slurry with 0.15 M added KCl and its impact on polishing performance and subsequent surface damage. The thickened slurry displays a 5-fold increase in viscosity with increasing shear rate. As the shear rate is reduced back to zero, the slurry continues to thicken showing a final viscosity that is 100x greater than the initial viscosity. Optical microscopy and non-contact profilometry were then utilized to directly link slurry thickening behavior to more severe surface scratching of polished TEOS wafers. The thickened slurry generated up to 7x more surface scratches than a non-thickened slurry. Both slurry thickening and surface scratching were associated with a dramatic increase in the population of large particles ( 300 nm) which were undetectable in the non-thickened slurry. These large and potentially scratch-generating particles are believed to instigate measurable surface damage. 7.2 Introduction Today s advanced silicon chips contain over one billion transistors in one square centimeter of surface area [2, 3]. Interconnecting such a dense population of electrical elements requiresmultiplelayersofwiring(overeightmetallayerscanbefoundinthemostrecentgeneration of logic devices) [2]. Each level of wiring involves a minimum of two CMP steps (one 100

121 metallic CMP step and one dielectric CMP step). As the semiconductor industry moves towards smaller feature sizes and to more layers of wiring(thus, more CMP steps), CMP surface defects such as scratches, gouges, pits, and corrosion need to be reduced. Even mild surface defects on a microelectronic device can greatly diminish device performance [28], while more catastrophic defects, like the severing of a wire line or the fracture of a dielectric layer, can lead to complete device failure [3]. CMP-induced defects have been linked to the existence of small populations of large particles (typically >500 nm) within the slurry [1, 15, 17]. These large particles can be impurities from slurry production or a consequence of the aggressive polishing environment. During the high speed CMP process, the slurry experiences shear rates in excess of 1,000,000 s 1 [10]. Recent high shear rheological studies have shown that silica CMP slurries will display shear thickening behavior under process relevant shear rates ( 10,000 s 1 ) [10, 68, 69, 127, 194]. Under this intense shearing, individual slurry particles are driven together to form large agglomerates, which trigger a spike in the slurry s viscosity (termed shear thickening [11, 12]). Even though CMP-induced defects have been definitively connected to the presence of large particles within the slurry, there has yet to be a direct correlation between slurry shear thickening and imperfections on a wafer surface. Here, a method to simultaneously monitor rheological behavior while polishing a semiconductor wafer is presented (deemed in situ rheo-polishing). Changes in slurry viscosity, specifically shear thickening, can now be directly associated to polishing performance and any ensuing surface defects. Finally, the onset of surface damage is correlated with changes in the slurries particle size distribution. 7.3 Experimental Methods For this study, 25 wt% fumed silica slurries(d=160±11 nm, determined via dynamic light scattering) with and without the addition of salt (0.15 M KCl) were employed. The fumed silica aggregates were electrostatically stabilized at ph 11 (well above silica s isoelectric point of ph 2) through the addition of KOH. All slurry material was provided by Cabot 101

122 Microelectronics Corporation (Aurora, IL) and was a simplified version of the commercial product; consisting of fumed silica, water, and KOH. Concentrated slurries (32 wt%) were diluted to a target solids fraction of 25% using ultrapure deionized (DI) water and a 0.6 M KCl solution (99% purity; Fisher Scientific, Pittsburgh, PA). The final KCl concentration (C KCl ) for the salt adjusted slurry was 0.15 M. After dilution, slurries were stored under ambient conditions for 24 hours before commencing rheological tests. In situ rheo-polishing measurements were conducted using TA Instruments AR-G2 rheometer (New Castle, DE) with a parallel-plate geometry. The top, rotating plate is constructed of disposable aluminum (40 mm in diameter). TA s disposable plate fixture was used as the bottom, stationary plate (Figure 7.1a-c). Inserted into the fixture housing (for polishing ), was a 2 inch (51 mm) diameter silicon dioxide blanket wafer, where the silicon dioxide was produced by the oxidation of tetraethyl orthosilicate (TEOS; C 8 H 20 O 4 Si). The gap spacing between the surface of the TEOS wafer and the top plate was 30 µm, which allowed for shear rates up to 200,000 s 1 to be explored. The entire experimental zone, 40 mm plate and exposed wafer surface, were completely submerged in 2 ml of slurry material (Figure 7.1d). Pooling of the slurry eliminated sample drying along the edge of the rheometer plate, thereby reducing the probability of defect formation from dried slurry debris. All measurements were conducted at 25 C, with temperature control of ±0.1 C provided by the Peltier plate. A steady state shear rate ramp was employed to both polish the TEOS wafer and to probe for the shear thickening behavior of the fumed silica slurries. Shear rates ranging from 1,000 to 100,000 s 1 were examined. Immediately following the shear rate ramping step, a shear rate reduction step tested the reversibility of the shear thickening demonstrated by the fumed silica slurries. Each rheo-polishing test was repeated in triplicate (at minimum) to verify reproducibility. The duration of a full shear rate ramp and reduction experiment is around minutes, which is significantly longer than a typical polishing event ( 1 minute). Therefore, the surface damage endured during a rheo-polishing experiment should 102

123 be more extensive than an equivalent polishing step. The rheo-polishing method, unlike a true polishing event, has no applied normal force. In order to collect usable rheological information, a defined gap spacing between the top and bottom plates must be established and maintained throughout the measurement. When a normal force is applied at zero-shear conditions, the gap between the top and bottom plates will be reduced until a non-zero normal force is registered, with the plates separated by only a single layer of particles. Under these circumstances, the experiment becomes a measurement of rolling or grinding friction rather than a measure of rheological behavior. While this has interesting potential, it would be incapable of determining true changes in fluid properties. Attempts to mimic the normal polishing process by affixing a pad to the upper, rotating rheometer plate were unsuccessful. With the pad attached, all fluids (including water) displayed shear thinning behavior. The apparent shear thinning was attributed to the deformation of the pad surface with increasing shear rate (resulting in a variable rheometer gap height) and pad-induced transport of slurry along the pad interface (aided by the pores within the pad and the patterned grooves lying on the pad surface). Also, individual asperities from the pad texturing etched deep, circular grooves into the wafer; making surface defects indistinguishable from the pad-induced damage. As a result, all data reported here were obtained using a bare aluminum top plate. Immediately following a rheo-polishing experiment, the polished TEOS wafer was rinsed with DI water and then stored (completely submerged) in a sealed cup of fresh DI water. The wafers were cleaned using a zero-contact method (i.e., no scrubbing or wiping of the surface) to avoid generating further damage by dragging residual slurry material across the wafer surface. To determine the degree of scratching from our rheo-polishing experiments, optical microscopy and non-contact interferometry were employed. Quantitative scratch data was obtained using Zygo Corporation s (Middlefield, CT) NewView 6300 non-contact, three di- 103

124 Figure 7.1: Rheo-polishing setup with TA Instruments disposable (a) Peltier plate fixture and (b) 40 mm upper plate and (c) 2 inch TEOS wafer, which is inserted into the disposable plate fixture to be polished. (d) Schematic of the rheo-polishing setup showing the crosssectional view of the rheometer plate and TEOS wafer covered with fumed silica CMP slurry. 104

125 mensional white light interferometer. The NewView 6300 was equipped with a 20x objective that allowed for a vertical resolution of <0.1 µm and a lateral resolution of 0.7 µm. The horizontal and vertical field of view dimensions were 350 µm by 260 µm, respectively. Images were captured using a 640x480 pixel camera. Interferometer surface scans were analyzed using the GPI application in Zygo s MetroPro software. The GPI application allows for general surface topography analysis (in the x- y plane), as well as cross-sectional analysis (in the x-z or y-z planes) of surface roughness (such as scratches or gouges from polishing). For consistency, a scratch was defined as having a minimum depth of 0.1 µm (instrument limit) and a lateral aspect ratio (length:width) of at least 5. In order to eliminate analysis bias, interferometer images were divided into a 9- section grid and then numbered 1 through 9. For every image, a random number generator was used to determine which 3 out of 9 sections were to be analyzed. Scratch width and depth dimensions were then tabulated across at least two separate wafers for each set of polishing conditions. Slurry particle sizing, after a rheo-polishing event, was determined via dynamic light scattering (DLS). Before commencing DLS analysis, fully concentrated (25 wt%) slurries were diluted 1:10 4 (by volume) using a stock solution of KOH (ph=11). Neat DI water was not used for dilution to avoid the induction of particle coagulation through the alteration of the particles surface charge. Malvern Instruments (U.K.) Zetasizer Nano ZS at a scattering angle of 90 was employed for DLS sizing. 7.4 Monitoring Viscosity During Polishing The fumed silica slurries viscosity is highly dependent on the applied shear rate (Figure7.2aandb). Theflowcurveoftheshearthickenedslurry(representedasST;Figure7.2b) is compared to two control experiments: 1) a 25 wt% no salt added slurry and 2) DI water (Figure 7.2a). As expected, the viscosity of DI water is independent of shear rate. The average measured viscosity (across the full shear rate ramp and reduction experiment) of the DI water control was 8.6±0.3x10 4 Pa s, which is within 3 % of the reported value for the 105

126 viscosity of water at 25 C (8.9x10 4 Pa s [204]), further validating the rheo-polishing technique. The silica slurry with no added salt (black triangles in Figure 7.2a) is non-thickening (denoted as NT) and shear thins throughout the entire shear rate ramping step from 1,000 to 100,000 s 1, exhibiting a 40% decrease in viscosity. Shear thinning is commonly observed among suspensions of non-spherical colloids like fumed silica, because the particles have a preferential orientation under flow [11, 12, 87, 121]. As the shear rate increases, the restoring effects of Brownian motion can no longer withstand the strength of the applied hydrodynamic force and the particles begin to align in the direction of flow. This orientation of particles lowers the suspension s resistance to motion, resulting in an overall reduction of the system s viscosity (i.e., shear thinning). Figure 7.2: Steady state shear rate ramp (filled symbols) and reduction (open symbols) for (a) DI water (gray circles) and a 25 wt% silica slurry with no salt (black triangles) and (b) with an added KCl concentration of 0.15 M (red squares are the shear thickened sample (ST), while the blue squares are the non-shear thickened (NT) sample). In contrast, the slurry with 0.15 M KCl, transitions from slight shear thinning to shear thickening at 30,000 s 1 (0.15 M KCl (ST); red squares in Figure 7.2b). From 30,000 to 100,000 s 1 the salt added slurry exhibits 5-fold increase in viscosity. However, if the ramping step is stopped at 30,000 s 1 (or below), no thickening is observed for the salt- 106

127 adjusted slurry (0.15 M KCl (NT); blue squares in Figure 7.2b). Therefore, any changes to the slurry s viscosity are completely reversible until thickening commences. Recent work by Crawford et al. [127,194] and Amiri et al. [68,69] have examined the shear thickening response of these fumed silica slurries in great detail. In all of the previous studies, the slurries displayed discontinuous thickening behavior, where a distinct jump in viscosity is observed. Here, the slurry with 0.15 M KCl thickens continuously during the shear rate ramping step, without any sudden increases in viscosity. Currently, the dominant explanation holds that shear thickening is caused by the shearinduced coupling of particles through strong hydrodynamic lubrication forces (termed hydroclusters) [12, 89, 99, 102]. The formation of hydroclusters necessitates the entrapment of liquid between particles, forcing the newly formed clusters to dilate (increasing the effective volume of the particle network) [111]. If the dilation of particle clusters originates near a system boundary (i.e., the air-liquid interface of a traditional parallel-plate setup or the inner cylinder walls of a Couette geometry) cluster growth will be retarded by the stiffness of the nearby boundary. As a result, the dilating cluster network jams the suspension microstructure and an abrupt increase in viscosity is observed [106, 109, 112]. Work by Brown and Jaeger [109] reported that in a conventional parallel-plate setup the surface tension around the outer edge of the plates is required to observe discontinuous shear thickening. For this study, the top plate of our parallel-plate geometry is completely encapsulated with slurry material, eliminating the relatively rigid air-liquid boundary around the outer edge of the rheometer plate (where the shear rate is highest). Also, the inner-edge of the bottom plate housing sits 2 mm beyond the outer-edge of the top plate, allowing for spatially unhindered growth of shear-induced structures and relatively small shear rates. By definition, shear thickening by hydrocluster formation is a completely reversible phenomenon and any increase in viscosity is relinquished upon the cessation of applied shear. Yet, the thickening behavior displayed by the salt-adjusted slurry is irreversible and its viscosity not only remains thickened, but continues to thicken during the shear rate reduction 107

128 step (Figure 7.2b). The irreversible thickening behavior observed here agrees with previous fumed silica slurry thickening studies [68, 69, 127, 194] and most likely follows an entirely different mechanism than what is depicted in hydrocluster theory. Aqueous silica suspensions are believed to coagulate through the process known as hydroxo interparticle bridging, where acidic surface silanol groups (SiOH) are linked together by adjacent hydroxide ions (OH ). In order for such bridges to develop, two criteria must be satisfied [74]: 1) the OH concentration must be abundant (i.e., silica coagulation cannot occur at low ph) and 2) the surface of the silica particles must be covered with acidic hydrogens. However, under highly alkaline conditions (ph >10), silica has a strong negatively charged surface and undergoes a deprotonation reaction (SiOH + OH SiO + H 2 O), resulting in an almost completely deprotonated surface (SiO ) [68,75]. In other words, there are no hydrogens directly associated with silica s surface to facilitate interparticle bridging. Conversely, at high ph (>10) and in the presence of salt, silica s surface will be entirely covered with hydrated cations [76, 77]. The layers of hydration that surround the adsorbed cations can act as binding vehicles for the deprotonated surface silanols (SiO ) [74,78]. Water molecules surrounding the adsorbed counterions are displaced by the dissociated silanols and an irreversible bridge is created between two silica particles[68,70,74]. The addition of KCl to the slurry can then lead to both charge screening between particles, reducing electrostatic repulsions, and irreversible particle agglomeration through cation enhanced bridging (commonly referred to as shear-induced bridging in polymer systems [79 82]). Therefore, the irreversible thickening behavior observed in Figure 7.2 could be the result of irreversible shear-induced particle agglomeration. The potential shear-induced agglomerates formed during rheo-polishing experiments should be held together more strongly than the reversible hydroclusters created in a traditional shear thickening system. Unlike hydroclusters, these rigid agglomerates should withstand the high frictional forces that exist along the wafer surface during CMP, making them more likely to cause a CMP-induced defect during the planarization process. Also, since these agglomerates 108

129 are irreversible (i.e., stay intact after a polishing event), they should be quantifiable after the rheometer is stopped. In the following section, we investigate the polishing performance of the three rheopolishing cases described in Figure 7.2a and b (two non-thickening cases and one shear thickening case, denoted as NT and ST respectively). The three cases will allow us to indisputably connect the observed shear-induced thickening response to the presence of scratches on the wafer surface. We will address the argument that the scratches occur from simply exposing the wafer surface to such high shear rates (i.e., the no salt (NT) case) and that the scratches were generated by polishing with an unstable slurry (i.e., the 0.15 M KCl (NT) case). Figure 7.3: Surface optical microscopy images of a 2 inch TEOS wafer polished with: (a) DI water and a 25 wt% silica slurry containing (b) no salt (NT), (c) 0.15 M KCl (NT), and (d) 0.15 M KCl (ST). 7.5 Characterization of Shear Thickening-Induced Scratches During the fabrication of microelectronic devices, a wafer surface will have metallic and dielectric regions that are simultaneously exposed to the CMP slurry. The width and respective thickness of these regions is on the order of 100 nm for current integrated chips [3]. 109

130 Thus, even minor surface scratching can cause complete device failure and having the ability to understand and control surface imperfections is crucial to the success of the CMP process. A CMP-induced scratch is presumably generated when a hard object (slurry particle or polishing debris) is forced into the wafer and then dragged across the surface. Generally, large ( 500 nm) particle populations are believed to be the main culprit for the formation of scratches on the surface of polished wafers[17,18]. Optical microscopy was employed to qualitatively examine the formation of surface scratches during rheo-polishing (Figure 7.3a-d). When polishing with DI water, little to no surface scratching was observed (Figure 7.3a), even though the rheo-polishing experiments were not performed in a clean room environment. The minimal scratching that was observed in this control experiment is attributed to traces of dried slurry residue adhering to the rheometer tooling (and possible environmental contamination) even after thorough rinsing with DI water between rheological tests. Scratching intensity increases systematically as you move from image (a) through image (d) in Figure 7.3. As expected, the wafer polished with DI water (Figure 7.3a) has the smallest number of scratches. The unsalted slurry shows a few pronounced scratches (Figure 7.3b), but still has regions of essentially scratch-free surface. More scratches are clearly evident in the 0.15 M KCl (NT) case (Figure 7.3c) in comparison with the no salt (NT) case (Figure 7.3b). The addition of salt to the slurry matrix reduces particle stability by screening electrostatic interactions [205] and eventually leads to particle agglomeration. Therefore, increased surface defects are expected when employing high salinity CMP slurries [5, 202]. Previous work by Choi et al. [7] reported that silica slurries with 0.1 M KCl had a higher material removal rate and lower surface roughness when compared to slurries with other added salts at various concentrations. Material removal rates typically increase with increasing ionic strength due to enhanced frictional forces at the particle-wafer interface. However, inconsistent contact between agglomerated particles and the wafer surface, leads to decreased (and irregular) polishing rates. Work by Basim and Moudgil [18] demonstrated that salt-induced agglomeration under high NaCl concentrations (>0.2 M) greatly depreci- 110

131 ated the surface finish of polished wafers. More recent work by Chang et al. [166] showed that alkaline slurries with no added salt had fewer stress-induced agglomerates and fewer particle-induced defects in comparison to salt-adjusted slurries. Therefore, more surface scratches should be expected when using a slurry with an elevated ionic strength, even if no noticeable change in viscosity is observed (i.e., no thickening). On the other hand, when thickening is observed during the rheo-polishing experiments (Figure 7.3d), scratching on the wafer surface is more frequent and severe. Here, the observed thickening response is most likely due to an irreversible shear-induced agglomeration process (hence the irreversible thickening reported in Figure 7.2b). The shear-induced agglomerates formed in this study appear to be stabler and more rigid than hydroclusters and consequently, are more likely to cause surface defects. Therefore, the scratches observed in Figure 7.3d are a result of both naturally occurring salt-induced structures and durable shear-induced agglomerates. Optically, shear-induced thickening leads to increased scratching on the wafer surface during polishing. However, the microscopy images from Figure 7.3a-d only give a 2D glimpse of the polished wafer surface and cannot distinguish between dried-on slurry material and true shear-induced defects. Therefore, non-contact white light interferometry was employed (Figure 7.4a-d) to obtain more quantifiable scratch data. 3D surface scans of the NT cases, with and without added salt (Figure 7.4b and a, respectively) display little to no surface scratching (similar to the results shown in Figure 7.3). Conversely, the shear thickening case (Figure 7.4c) has a much higher number of surface imperfections. The use of the surface profiler application (the cross-sectional view, in the y-z plane, from the vertical red line in Figure 7.4c is shown in Figure 7.4d) allowed for not only the quantification of scratch frequency, but also the width and height of each analyzed scratch (a full analysis procedure was detailed in Section 7.3). Shear thickening led to 7x and 3.5x more scratches per unit area of analyzed wafer surface in comparison with the no salt (NT) case and the 0.15 M KCl (NT) case, respectively 111

132 Figure 7.4: Interferometer surface scans of a 2 inch TEOS wafer polished with a 25 wt% silica slurry containing (a) no salt (NT), (b) 0.15 M added KCl (NT), and (c) 0.15 M added KCl (ST). The interferometer images are 350 µm by 260 µm, width by height, respectively. (d) Cross-sectional view in the y-z plane of the vertical slice from image (c) indicated by the red line in image (c). (e) Normalized number of surface scratches per image examined with the profilometer for the three different polishing cases (NT with and without added KCl and with observed ST). The displayed p-values are from a two sample t-test comparing the three polishing cases with one another. 112

133 (normalized scratch counts per image found in Figure 7.4e). A total of 218 scratches were counted on the surface of the wafer polished under thickening conditions (across 10 analyzed images), while only 69 scratches were enumerated for the 0.15 M KCl (NT) case (across 11 images; Table 7.1). The no salt (NT) case showed only a total of 32 scratches (across 10 images). A two sample t-test analysis was used (at 95% confidence) to determine if the ST case was significantly different than the two NT cases (with and without added KCl). The p-values from both t-tests were much less than ( 10 9 ; p-values inset in Figure 7.4e), indicating that the elevated scratch counts from the ST case did not occur by chance and that these results are highly significant. The two non-thickened samples were statistically distinguishable (p-value of 0.01), but at a much lower level of statistical confidence. Comparing the shear thickened and non-shear thickened salt treated samples, the much higher number of scratches and very high level of statistical significance clearly shows that shear-induced agglomeration leads to surface damage at a rate not experienced by salt-induced agglomeration alone. More scratches are observed for the shear thickening case while the depth and width of the analyzed scratching events are equivalent for the ST and NT (with and without added salt) rheo-polishing cases (Table 7.1). All three cases have reported scratches that are approximately 0.3 µm deep and 3 µm wide (on average), indicating that the scratchgenerating particles are similar in size. In order to estimate the size of a scratch-causing structure (i.e., problem particle ) we assumed that a single, spherically shaped particle created the surface defect in question. For this analysis, the chord length length of a sphere isequivalenttothescratchwidth(w)andcanbecalculatedwiththeexpressioninfigure7.5. Using the scratch depth (d) and width values from Table 7.1, allowed indirect estimate of the diameter of a problem particle for all three polishing cases. According to these calculations, the agglomerates responsible for the measured surface damage are between 8 to 13 µm in diameter (assuming a spherical geometry). Particles that are multiple microns in size, let alone >10 µm, are rarely found within the slurry matrix. Work by Ring and 113

134 Table 7.1: Scratch counts and dimensions. Parameter No Salt (NT) 0.15 M KCl (NT) 0.15 M KCl (ST) Image Count Scratch Count Scratch Depth (µm) 0.20 ± ± ± 0.14 Scratch Width (µm) 3.2 ± ± ± 1.4 Problem Particle Diameter (µm) Figure 7.5: Cross-sectional view of a problem particle with radius r, creating a scratch of depth d, and width w, on the surface of a TEOS wafer. coworkers [3] characterized surface defects from both metallic and dielectric CMP and used V-shaped indentations to size impurity slurry particles. The dimensions of the impurity particles were found to lie between 1 to 4 µm. Ring and coworkers also reported that the resultant debris caused by material fracture at the wafer surface was much larger than the indenting particles (i.e., impurity particles). An indenting particle leads to material fracture at the wafer surface and the large fracture debris is then expected to generate even larger scratches; rapidly amplifying the number and size of surface imperfections [3]. Therefore, the size of a defect-instigating particle is not necessarily equivalent to the dimensions of the resultant surface damage. 7.6 Connecting Surface Scratches to Particle Agglomeration Current thinking within the CMP community, is that small populations ( 1 ppm) of large particles ( 500 nm) are the chief instigators of CMP-induced defect forma- 114

135 tion [1, 17, 18, 196]. These large particles can be impurities from slurry production or shearinduced agglomerates originating during the high shear polishing process. Due to their small population and relatively small size (i.e., sub-micron), these damage causing particles commonly escape detection [1, 15, 17, 18]. It seems obvious that scratches would be directly associated with the presence of large, rigid particles, however linking the two effects is quite difficult [1, 15, 202, 203]. Previous efforts to connect surface scratching with infrequent large particles mainly stems from the limitations of particle measurement devices and the inherent evolution of the slurry system post-polishing. For this study, we employed dynamic light scattering (DLS) to explore shear-induced changes to the particle size distributions of the slurries. The particle size distributions of the non-thickened samples (Figure 7.6a and b) are narrow, with polydispersity indices near 0.1 (indicating nearly monodisperse samples). The 0.15 M KCl (NT) and no salt (NT) cases have intensity average particle diameters of 194 nm and 175 nm, respectively (Table 7.2). The increase in average size and a slight broadening of the distribution for the non-thickened salt added case, is most likely caused by saltinduced agglomeration (an anticipated result for such a high salinity sample). The shear thickened sample, on the other hand, has a much broader size distribution than both the NT samples (Figure 7.6c) with an intensity average particle size of 257 nm. A measurable population of large particles ranging from 300 to 500 nm is clearly evident in the shear thickened sample, suggesting the formation of shear-induced agglomerates. The noticeable population of large particles is not observed in the size distributions of the non-thickened samples and these sizeable particles appear to be directly associated with the measured thickening response. However, there was no visible evidence of particles in the micron size range (all of the measured particles had sub-micron sized diameters). Typically dynamic light scattering instruments have an upper size threshold of 1 to 2 µm. Particles larger than the upper instrument limit have small diffusion constants that get lost in the signal noise and commonly settle out of solution too fast to be quantified using DLS. Therefore, DLS is 115

136 not the most appropriate tool to size particles larger than 2 µm. Microscopy of the samples was attempted, but with little success. The majority of particles are too small for white light optical microscopy. Transmission electron microscopy (TEM) is the most suitable technique for the size range of interest (100 to 10,000 nm; 0.1 to 10 µm). However, distinguishing a true shear-induced agglomerate from a sample prepinduced agglomerate (generated through the drying of sample on a TEM grid) is difficult if not impossible. Even if it was possible, the number of particles larger than 1 µm are so few that it would impractical to determine their statistical relevance. Also, DLS sizes on the order of 10 5 particles (or more) in a single test while microscopy sizes only 10 2 particles over multiple images. Unfortunately, there is no reasonable technique that can simultaneously probe both the super- and sub-micron size scales when the populations differ by many orders of magnitude. In general, we feel that DLS gives a more representative depiction of the true particle distribution and as a result, slurry particle microscopy was not included as part of this study. Table 7.2: Number average (a NA ) and intensity average (a IA ) particle diameters, and polydispersity idices (PDI) measured using DLS. Parameter No Salt (NT) 0.15 M KCl (NT) 0.15 M KCl (ST) a NA (nm) 168 ± ± ± 8 a IA (nm) 175 ± ± ± 35 PDI It is possible that large, micron sized particles are present within the slurry, however, there is no feasible method that can directly measure their abundance. Yet, if the Gaussian fits from Figure 7.6 are extrapolated beyond the fitted size ranges (0-350 nm for the NT samples and nm for the ST sample) one could get a rough estimate for the amount of particles that may exist in the larger size bins ( 500 nm). In no way is data extrapolation a direct or accurate measurement technique, it is used solely as an approximation. Therefore, extrapolated results will be examined with some reservation. 116

137 Figure 7.6: Particle size distribution for the (a) no salt (NT), (b) 0.15 M KCl (NT) and (c) 0.15 M KCl (ST)cases. The solid lines represent standard Gaussian fits, (a) and (b), and an Edgeworth-Cramer dual-peak function (c). Intensity average particle diameters are reported for their respective samples. 117

138 Extrapolations of the DLS data in Figure 7.6 were completed assuming the particle size distributions are continuous and follow a Gaussian distribution beyond the examined size range. In order to establish a reference point, the number of particles in 2 ml of 25 wt% slurry (the sample size and concentration used for the rheo-polishing experiments) was estimated. Assuming the slurry particles are spheres with an average diameter of 175 nm, particles are present in 2 ml of slurry. For the shear thickened sample, around 2% of the total particle population resides in the nm size range ( total particles; Table 7.3). The shear thickened slurry contains 7x and 13,000x more particles in the nm size bin than the non-thickened slurries, with and without added salt, respectively. It is possible that the increased number of larger particles found in the thickened sample are the culprit for the observed elevation in surface damage. However, they are too small (by themselves) to create the measured scratches during rheo-polishing and are also too small to bridge the 30 µm rheometer gap height. Even though these medium sized particles are plentiful ( ), it would be challenging for them to travel along the shear gradient and contact the wafer surface. Perhaps it is more likely that a small number of micron-sized particles are the culprit? According to the extrapolated Gaussian fits, very few particles can be found above 500 nm for the non-thickened slurries. Only fractions of particles are present in the size bins 500 nm for the no salt (NT) sample. For the non-thickened, salt added case, around 10 5 particles were estimated to exist in the 500 to 750 nm size range and only fractions of particles are predicted to exist in the larger size bins (Table 7.3). The shear thickened sample is predicted to have 20,000x more particles from 500 to 750 nm than the non-thickened, salt added slurry. Again, suggesting the presence of shear-induced agglomerates (unique from salt-induced agglomerates) that correlate with the witnessed shear thickening response. The data extrapolation for the shear thickening case indicated the presence of micron sized particles. According to the fit, approximately 2x10 3 particles may exist in the micrometer size range for the thickened sample. Although the relative number of particles >1,

139 nm (1 µm) is small, they are abundant enough to cause the amount of examined surface scratching. On the order of 10 2 scratches were counted for the shear thickening case, so only an equivalent number of micron sized particles would be needed to create the observed number of scratches. In reality, it only takes one large (>1.0 µm) particle to create a scratch; locating that large problem particle however, is where the challenge remains. Table 7.3: Extrapolated Gaussian fits from the DLS data in Figure 7.6. Sample 0.15 M KCl (ST) 0.15 M KCl (NT) No salt (NT) Size Range Fraction of Particle Particle Count (nm) Population (%) (# per 2 ml) x x ,000 3x10 6 3x10 6 >1,000 2x10 9 2x x x10 7 3x ,000 4x10 15 <1.0 >1,000 2x10 20 < x x10 14 < ,000 3x10 25 <1.0 >1,000 3x10 75 <1.0 Overall, the sizing of the fumed silica CMP slurries (post rheo-polishing) suggest the existence of large, potentially scratch-forming particles that are exclusive to the shear thickened sample. An elevated number of relatively large particles from 300 to 500 nm were found in the shear thickened sample. These medium size particles are small in number (making up only 2% of the total particle population) and are much smaller in magnitude than the theoretical micron sized surface-damaging particles. However, work by Remsen et al. [1] found the best correlation between large particle counts and defectivity for particles greater than 680 nm. The measured medium sized particles observed in the shear thickened sample are in the proper size range with respect to the results reported by Remsen and coworkers. Therefore, it is reasonable that the extensive scratching observed in the shear thickening case is caused by multiple medium sized agglomerates (<500 nm) contacting 119

140 the wafer surface and over time, these numerous surface impacts create large trenches on the wafer surface. However, we feel that it is more likely that the nm particles would serve as defect-instigating particles. When these particles contact the wafer they cause material fracture at the wafer-slurry interface. The fracture debris goes on to create larger and more frequent surface damage, which perpetuates larger and more frequent polishing debris (a continual cycle). Large polish debris can be in the tens to thousands of microns in size (visible by the naked eye); large enough to cause the reported surface damage, yet too big to be sized using DLS or even encapsulated using standard pipettes (the instrument used to collect slurry from the wafer surface after a polishing event). Conversely, it is also possible that the increased amount of surface damage in correlation with the observed shear thickening behavior is a result of a small fraction of micron-sized particles. The existence of these micron scale particles, unfortunately, could not be directly measured. Yet, when the Gaussian fit from the measured DLS data was extrapolated beyond the fitted range (0 to 600 nm), a small population of super-micron particles was predicted to exist solely in the shear thickened sample ( 2x10 3 out of total particles). Even though the number of particles >1.0 µm is relatively small, they are frequent enough to generate the amount of examined surface scratches found in the shear thickening case. 7.7 Conclusions We have developed a unique methodology that allows for the in situ measurement of rheological behavior while polishing a wafer of semiconducting material. The newly developed rheo-polishing technique permits shear thickening of the CMP slurries to be directly linked to surface scratching during a polishing event. The data presented here clearly show that damage causing particles can be generated during the polishing process itself, and are not necessarily present in the slurry as introduced to the polishing tool. In this study, the frequency of surface scratches significantly increased when thickening of the fumed silica slurry was observed. The examined scratches are believed to be the result of shear-induced agglomerates, which are different than the quiescent salt-induced agglomerates present in the 120

141 non-thickened samples. These shear-induced agglomerates exist in small numbers, accounting for only 2% (or less) of the slurry s total particle population (making them challenging to detect). However, these undesirable agglomerates are frequent enough to lead to statistically significant and severe surface damage. Recent efforts to protect the smaller, more delicate feature sizes of today s advanced microelectronic devices, have lead to polishing with smaller applied down forces and with slurries containing lower solids loadings (<10 wt%). The work presented here, is an indication that decreasing the platen speed could also help combat the formation of CMP-induced defects, which can destroy these delicate features. While reducing platen speed decreases polishing rates and device throughput, the increase in successful device yield might counterbalance the loss in production from decreased polishing speeds. Thus, reducing platen speeds (i.e., polishing shear rates) in combination with lower solids fraction slurries and smaller down forces, should be the path forward for the CMP community. Reducing all three of these parameters will help keep smaller features intact after polishing and help prevent shear-induced agglomeration (as witnessed here). 7.8 Acknowledgments The authors thank the Cabot Microelectronics Corporation summer internship program for providing the funding for this work. In addition, we acknowledge CMC for supplying the slurries and for allowing us to share our findings. We also thank Mr. Stan Lesiak for his assistance with the profilometry for this study. 121

142 CHAPTER 8 CONCLUSIONS AND RECOMMENDATIONS This chapter outlines the major findings of this work and provides recommendations for future endeavors. 8.1 Conclusions We have developed a technique to measure the high shear rheological behavior of CMP slurries using a parallel-plate geometry at small gap heights (<100 µm) in a conventional rotating rheometer. The highly concentrated (20 to 34 wt%) fumed silica slurries discontinuously and irreversibly shear thicken at shear rates exceeding 10,000 s 1. The witnessed thickening events were found to be free of outside influences, such as viscous heating and sample evaporation. The thickening was also found to be independent of experimental gap height and thus, was not triggered by a critical rheometer speed. Overall, the thickening response of the fumed silica slurries was confirmed to be a true shear-induced response. The critical shear rate at which the slurry thickens can be tuned by altering the silica concentration, ionic strength (using CsCl, KCl, LiCl, and NaCl), and/or by changing the ph adjusting hydroxide base (KOH, NaOH, or CsOH). Overall, the critical thickening shear rate decreases as silica concentration is increased and as the added salt concentration is heightened overtherangeof0.02to0.15m.thecriticalshearratedisplayedadistinctlineardependence with salt concentration and zeta potential. The linear trend in thickening shear rate as a function of salt concentration endured even as the slurry zeta potential approached the realm of colloidal instability (<20 mv, in magnitude). When the critical thickening shear rate was plotted as a function of particle zeta potential, slurries containing CsCl, NaCl, and LiCl followed a clear, downward-sloping linear trend; indicating that the observed thickening is highly dependent on electrostatic repulsive forces. In this study, shear thickening not only occurred under the presence of charge screening cations, but the magnitude of the thickening 122

143 response appeared to increase with increasing ionic strength (agreeing with several previous publications). However, an anomalous, increased critical thickening shear rate was observed when the slurry was stabilized with KOH and then charge screened through the addition of KCl. The critical shear rates of the slurries containing the base-salt pair of KOH-KCl, also decreased linearly with decreasing zeta potential, but followed a clearly different trendline from the other added monovalent chloride salts (CsCl, NaCl, and LiCl). Additional studies with slurries containing ph altering bases of NaOH and CsOH, discounted the possibility of a common ion-induced stability effect. When the slurry base was changed from KOH to CsOH and NaOH, slurry thickening remained dependent on ionic species, but was more reliant on the cation s ability to infiltrate silica s hydration layer. Small, well hydrated cations (Na + and Li + ) penetrate deeper into the hydration layer of silica than larger, weakly hydrated cations (Cs + and K + ). The denial of poorly hydrated cations into silica s hydration layer increases the reach of additional close-range stabilizing forces (not predicted by the DLVO theory). As a result, slurries ph adjusted with CsOH thicken at larger critical shear rates than slurries containing KOH and NaOH. In situ small-angle light scattering (SALS) in conjuncture with rheological measurement (rheo-sals) was then used to probe for the formation, shape, and size of shear-induced agglomerates during the observed shear thickening response. Rheo-SALS images indicated the presence of micrometer scale structures (2-3 µm) that directly correlated with the discontinuous and irreversible shear thickening behavior of the fumed silica slurries. Distinct SALS patterns were only observable during and after thickening, but not before thickening commenced. No scattering patterns were detected in the absence of thickening. The SALS images were verified using dynamic light scattering. A significant population of large particles from 300 to 700 nm were detected exclusively in the particle size distribution of the shear thickened sample. However, substantial micron scale agglomerates were not evident in 123

144 the thickened slurry; suggesting potential transient agglomeration or scattering coincidence within the rheo-sals device. In addition, we developed a unique methodology that allows for the in situ measurement of rheological behavior while polishing a wafer of semiconducting material. The newly developed rheo-polishing technique permits shear thickening of the CMP slurries to be directly linked to surface scratching during a polishing event. The reported data clearly show that damage causing particles can be generated during the polishing process itself, and are not necessarily present in the slurry as introduced to the polishing tool. In this study, the frequency of surface scratches significantly increased (by more than 3-fold) when thickening of the fumed silica slurry was observed. The examined scratches are believed to be the result of shear-induced agglomerates, which are different than the quiescent salt-induced agglomerates present in the non-thickened samples. These shear-induced agglomerates exist in small numbers, accounting for only 2% (or less) of the slurry s total particle population (making them challenging to detect). However, these undesirable agglomerates are frequent enough to lead to statistically significant and severe surface damage. 8.2 Recommendations While we are fairly certain that the observed discontinuous and irreversible thickening behavior displayed by the fumed silica slurries follows a different mechanism than the hydrocluster theory of classical shear thickening systems. However, we have yet to discover the true driving force behind the slurries unique thickening response. Discontinuous thickening behavior, historically, has been linked to jamming of the suspension s microstructure and was reported to be influenced by the relatively rigid boundaries of the rheometer geometry [109]. The slurry s discontinuous thickening behavior vanished when the liquid-air boundary in the parallel-plate setup was removed during the rheo-polishing experiments (7). The slurry still thickened when sample pooling was used during rheo-polishing, but in a more continuous fashion; indicating a direct link between discontinuous thickening behavior and the confining edge of the rheometer setup. Moving forward, we would like to investigate 124

145 the influence of the boundary conditions on the observed thickening behavior of these fumed silica slurries. As the boundary is altered from stiff to continuous (Figure 8.1), the hypothesis is that the slurry thickening will change from discontinuous to continuous or possibly even disappear entirely. Figure 8.1: Cross-sectional view of a parallel-plate setup with a traditional stiff boundary (left), semi-continuous boundary with oil or water along the outer edge of the plates (center), and continuous boundary where the interrogated sample extends well beyond the edge of the rheometer tooling (right). Previous work investigated the migration of particles in a parallel-plate geometry independent of the continuous phase [ ], however their observations were for bimodal suspensions of micron sized particles. No one has extended the author s work to monodisperse sub-micron sized particles(like the silica used in CMP slurries). Fumed silica is twice as dense as water (the suspending phase used for the slurries) and centripetal force calculations suggest that more dense material will be pushed towards the outer edge of the rheometer more effectively. Potentially, the silica particles are moving to the rim of the rheometer plates subsequent of the continuous phase and their radial motion becomes hindered by the confining sample boundary. The particles have nowhere to go but upwards, creating gap spanning particle cluster at the outer edge of the rheometer tooling. This cluster then jams the slurry s microstructure and leads to the witnessed discontinuous thickening behavior. In Section 4.4 we examined the effects of experimental gap height on the slurries thickening behavior and concluded that the shear rate at which the slurry thickened was independent of measurement gap height. The thickening of the slurries appears to be shear-induced and is not a determined by a single critical rheometer speed. These results would counterbalance the idea that particles are forced centripetally outward during shear, leading to discontinuous 125

146 thickening behavior. However, the shear rate gradient in the radial direction (zero shear rate at the center and highest shear rate at the plate edge) mixed with the curvature effects of the geometry could still lead to migration of particles. It is possible that the radial motion of particles could be independent of gap height. Yet, the axial growth of particles at the outer rim of the rheometer plate (as discussed previously) could potentially be a function of rheometer plate spacing. The degree of discontinuity in the apparent slurry thickening could fluctuate with changes in gap height, leading to smaller or larger jumps in viscosity that correlate with varying scales of particle clustering. Shear-induced agglomerate size may depend on gap height and could be examined using DLS or rheo-sals. Another mechanism for the discontinuous and irreversible thickening behavior observed in the fumed silica slurries was proposed to us by Dr. Norman Wagner from the University of Delaware. Dr. Wagner s hypothesis is that the fumed silica aggregate structure is broken down due to the intense frictional forces between particles and surrounding substrates during rheological measurement or the CMP process. The branches or extremities of the aggregates get ripped under the extreme shear forces, exposing fresh unpassivated silica surface. The newly exposed surface recombines with neighboring particles to form larger agglomerates. The broken particle remnants act as bridges for particle agglomeration. The fumed silica particle community, on the other hand, has always operated under the assumption that the fumed silica aggregate structure is indestructible and can only be destroyed under the most extreme conditions that are unobservable in the rheometer. However, transmission electron microscopy (TEM) images were obtained for the silica particles post-thickening (the slurry was diluted 1:10 8 in isopropanol before drying on the TEM grid). Surprisingly, individual spherical particles around the same size of a primary particle (20-50 nm), which makeup the aggregate fumed silica structure, where found solely in the shear thickened sample (Figure 8.2). Further centrifuge studies were unsuccessful at separating these small, spherical particles from the rest of the slurry particle population. Currently, the micrograph in Figure 8.2 is the only evidence suggesting that the breakup of fumed silica aggregates is 126

147 a plausible rationalization for the thickening behavior displayed by the investigated slurries. Figure 8.2: Transmission electron microscope (TEM) image of fumed silica (diluted 1:10 8 by volume in isopropanol before drying on the TEM grid) from a 25 wt% (C KCl =0.15 M) shear thickened slurry. The circles indicate spherical primary particle sized structures that were found exclusively in the shear thickened slurry. These spherical particles were not observed in the non-sheared and sheared without thickening samples. Preliminary dynamic light scattering (DLS) data from Sections 6.5 and 7.6, indicate the presence of >500 nm sized particles found exclusively in the shear thickened sample. However, the number of particles that exist in the super-micron size range (>1,000 nm) is just an approximation at this point. Micrometer scale particles are believed to instigate the observed slurry thickening behavior and cause devastating damage on the surface of semiconductor wafers during polishing. Unfortunately, DLS is not the most appropriate tool to size micron scale particles and slurry particle microscopy serves to be problematic. Alternatively, flow field-flow fractionation (FlFFF) is a promising technique that can spatially separate and size particles ranging from a few nanometers up to hundreds of micrometers. Using FlFFF accompanied by single particle optical sizing (SPOS) detectors allows for the simultaneous sizing and counting of particles, generating a full particle size distribution. Initial FlFFF- SPOS results (obtained in conjuncture with Dr. J. Ray Runyon) indicated elevated particle counts for the shear thickened slurry in comparison to a non-thickened slurry. Additional 127

148 problems arose when attempting to further examine the particle counts in the presence of primary and secondary coincidence (when multiple particles, detectable or sub-detectable, scatter light as a single particle). Also, the SPOS detectors are calibrated using polystyrene latex (PSL) spheres. Silica scatters light less intensely than PSL and is therefore undersized by the SPOS detectors. FlFFF-SPOS has the capability to simultaneously size and count primary particle scale structures (5-50 nm) and large shear-induced structures (>1,000 nm). The future use of FlFFF-SPOS could help resolve some of the unanswered questions surrounding the unique thickening behavior of the fumed silica CMP slurries. Finally, an improved rheo-polishing methodology would be especially beneficial to the CMP community. Currently, our recently developed rheo-polishing method is more of a true rheological measurement rather than a polishing experiment. The rheo-polishing technique outlined in Chapter 7 is essentially a parallel-plate geometry with the bottom plate replaced by a wafer of semiconducting material. Although our technique can monitor rheological behavior while polishing a wafer, it does not include a polishing pad, synchronized wafer motion, or an applied down force. Adjusting the current rheo-polishing method to include some of these key process variables, would create a scaled down version of a more authentic polishing process. Generating a mini polishing fab would lower research costs by using less consumables (i.e., polishing pad and slurry) and more importantly, less waste of expensive semiconductor wafer materials. The mini fab could monitor changes in slurry characteristics in a true polishing environment. Potential experimental variables could include, but not limited to: slurry formulation, wafer composition and patterning, down force, pad texturing and makeup, polishing speed or torque, etc. In addition, wafer defect analysis needs to be improved. Electron microscopy (SEM or TEM) was found to be too localized and optical microscopy too qualitative with no 3-dimensional capabilities. Non-contact interferometry is a powerful tool that supplies scratch depth and width dimensions, but can be challenging to evaluate the entire wafer surface. Contact profilometry would be a great option, but currently, it cannot size features smaller than 0.1 µm and the stylus used for 128

149 that scale investigation is costly. Also, a more systematic surface defect analysis procedure needsto bedevised. Ideally, it would be valuable to not only identify thenumberof scratches on a wafer, but also the scratches radial position and/or direction (i.e., the direction of the particle is traveling when generating a scratch). Knowing the material removal rate during experimentation would also be advantageous for the CMP community. 129

150 REFERENCES CITED [1] E. E. Remsen, S. Anjur, D. Boldridge, M. Kamiti, S. Li, T. Johns, C. Dowell, J. Kasthurirangan, and P. Feeney. Analysis of large particle count in fumed silica slurries and its correlation with scratch defects generated by CMP. Journal of The Electrochemical Society, 153(5):G453 G461, [2] E. Matijevic and S. V. Babu. Colloid aspects of chemical-mechanical planarization. Journal of Colloid and Interface Science, 320: , [3] T. A. Ring, P. Feeney, D. Boldridge, J. Kasthurirangan, S. T. Li, and J. A. Dirksen. Brittle and ductile fracture mechanics analysis of surface damage caused during cmp. Journal of The Electrochemical Society, 154(3):H239 H248, [4] M. Krishnan, J. W. Nalaskowski, and L. M. Cook. Chemical mechanical planarization: Slurry chemistry, materials, and mechanisms. Chemical Reviews, 110(1): , [5] R. K. Singh, S. M. Lee, K. S. Choi, G. B. Basim, Z. Chen, and B. M. Moudgil. Fundamentals of slurry design for cmp of metal and dielectric. MRS Bulletin, 27(11):833, NOV [6] Z. Lu, S. H. Lee, S. V. Babu, and E. Matijevic. The use of monodispersed colloids in the polishing of copper and tantalum. Journal of Colloid and Interface Science, 261(1):55 64, [7] W. Choi, U. Mahajan, S. M. Lee, J. Abiade, and R. K. Singh. Effect of slurry ionic salts at dielectric silica cmp. Journal of the Electrochemical Society, 151(3):G185 G189, MAR [8] P. B. Zantye, A. Kumar, and A. K. Sikder. Chemical mechanical planarization for microelectronics applications. Materials Science & Engineering R-Reports, 45(3-6):89 220, [9] S. Raghavan, M. Keswani, and R. Jia. Particulate science and technology in the engineering of slurries for chemical mechanical planarization. Kona Powder and Particle Journal, 26:94 105,

151 [10] W. Lortz, F. Menzel, R. Brandes, F. Klaessig, T. Knothe, and T. Shibasaki. News from the M in CMP - viscosity of CMP slurries, a constant? Materials Research Society Symposium Proceedings, 767(1):F1.7.1 F1.7.10, [11] J. M. Brader. Nonlinear rheology of colloidal dispersions. Journal of Physics-Condensed Matter, 22(36), [12] N. J. Wagner and J. F. Brady. Shear thickening in colloidal dispersions. Physics Today, 62(10):27 32, [13] W. E. Smith and C. F. Zukoski. Flow properties of hard structured particle suspensions. Journal of Rheology, 48(6): , [14] Y. S. Lee and N. J. Wagner. Dynamic properties of shear thickening colloidal suspensions. Rheologica Acta, 42(3): , [15] M. Stintz, H. Barthel, and M. Moinpour. Particle metrology in CMP slurries- Potential and limitations of relevant measuring methods. Materials Research Society Symposium Proceedings, 991: , [16] M. Moinpour, A. Tregub, A. Oehler, and K. Cadien. Advances in characterization of CMP consumables. MRS Bulletin, 27(10): , [17] G. B. Basim, J. J. Adler, U. Mahajan, R. K. Singh, and B. M. Moudgil. Effect of particle size of chemical mechanical polishing slurries for enhanced polishing with minimal defects. Journal of the Electrochemical Society, 147(9): , [18] G. B. Basim and B. M. Moudgil. Effect of soft agglomerates on CMP slurry performance. Journal of Colloid and Interface Science, 256: , [19] M. Osborne. Semiconductor sales to decline less than projected, says isuppli. October [Cited January 5, 2011]. [20] G. S. May and C. J. Spanos. Fundamentals of Semiconductor Manufacturing and Process Control. John Wiley & Sons, [21] K. S. Gokhale and B. M. Moudgil. Particle Technology in Chemical Mechanical Planarization. Kona-Powder and Particle, 25:88 96,

152 [22] L. J. Chen. Chemical-mechanical polish (CMP) pad conditioner. US Patent, US , [23] J. Lu, J. Coppeta, C. Rogers, V. Manno, L. Racz, A. Philipossian, M. Moinpour, and F. Kaufman. The Effect of Wafer Shape on Slurry Film Thickness and Friction Coefficients in Chemical Mechanical Planarization. Material Research Society Symposium, 613:E1.2.1 E1.2.6, [24] T. Kasai and B. Bhushan. Physics and tribology of chemical mechanical planarization. Journal of Physics: Condensed Matter, 20(22):1 13, [25] S. V. Babu, Y. Li, and A. Jindal. Chemical-mechanical planarization of Cu and Ta. Journal of the Minerals Metals & Materials Society, 53(6):50 52, [26] S. H. Lee, Z. Y. Lu, S. V. Babu, and E. Matijevic. Chemical mechanical polishing of thermal oxide films using silica particles coated with ceria. Journal of Materials Research, 17(10): , [27] M. J. Madou. Fundamentals of Microfabrication: The Science of Miniaturization. CRC Press, second edition, [28] J. M. Steigerwald, S. P. Murarka, and R. J. Gutmann. Chemical Mechanical Planarization of Microelectronic Materials,. John Wiley & Sons, [29] Merrill E. W. A coaxial cylinder viscometer for the study of fluids under high velocity gradients. Journal of Colloid Science, 9:7 19, [30] R. W. Connelly and J. Greener. High-shear viscometry with a rotational parallel-disk device. Journal of Rheology, 29(2): , [31] P. Dontula, C. W. Macosko, and L. E. Scriven. Does the viscosity of glycerin fall at high shear rates? Ind. Eng. Chem. Res., 38(4): , [32] J. Kramer, J. T. Uhl, and R. K. Prudhomme. Measurement of the viscosity of guar gum solutions to 50,000 1/s using a parallel plate rheometer. Polymer Engineering Science, 27(8): , [33] K. S. Mriziq, H. J. Dai, M. D. Dadmun, G. E. Jellison, and H. D. Cochran. Highshear-rate optical rheometer. Review of Scientific Instruments, 75(6): ,

153 [34] K. S. Mriziq, H. D. Cochran, and M. D. Dadmun. Rheology and birefringence of Fomblin YR at very high shear rates. Rheologica Acta, 46(6): , [35] J. L. Duda, E. E. Klaus, and S. C. Lin. Capillary viscometry study of non-newtonian fluids - Influence of viscous heating. Industrial & Engineering Chemistry Research, 27(2): , [36] A. F. Talbot. High shear viscometry of concentrated solutions of poly (alkylmethacrylate) in a petroleum lubricating oil. Rheologica Acta, 13: , [37] K. Kang, L. J. Lee, and K. W. Koelling. High shear microfluidics and its application in rheological measurement. Experiments in Fluids, 38(2): , [38] D. Erickson, F. Z. Lu, D. Q. Li, T. White, and J. Gao. An experimental investigation into the dimension-sensitive viscosity of polymer containing lubricant oils in microchannels. Experimental Thermal and Fluid Science, 25(8): , [39] H. M. Laun. Polymer melt rheology with a slit die. Rheologica Acta, 22:171185, [40] A. S. Lodge and L. de Vargas. Positive hole pressures and negative exit pressures generated by molten polyethylene flowing through a slit die. Rheologica Acta, 22:151170, [41] P. L. O Neill and G. W. Stachowiak. A high shear rate, high pressure microviscometer. Tribology International, 29(7):547557, [42] F. A. Morrison. Understanding Rheology. Oxford University Press, [43] A. L. Kelly, T. Gough, B. R. Whiteside, and P. D. Coates. High shear strain rate rheometry of polymer melts. Journal of Applied Polymer Science, 114(2): , [44] C. J. Pipe, T. S. Majmudar, and G. H. McKinley. High shear rate viscometry. Rheologica Acta, 47(5-6): , [45] C. W. Macosko. Rheology: Principles, Measurements, and Applications. Wiley-VCH, [46] G. A. Davies and J. R. Stokes. On the gap error in parallel plate rheometry that arises from the presence of air when zeroing the gap. Journal of Rheology, 49(4):919922,

154 [47] D. M. Binding and K. Walters. Elastico-viscous squeeze films: Part 3. the torsionalbalance rheometer. Journal of Non-Newtonian Fluid Mechanics, 1(3), [48] N. Chandrashekaran, S. Ramarajan, W. Lee, G. M. Sabde, and S. Meikle. Effects of CMP process conditions on defect generation in low-k materials - An atomic force microscopy study. Journal of the Electrochemical Society, 151(12):G882 G889, [49] P. Suphantharida and K. Osseo-Assare. Cerium oxide slurries in CMP. Electrophoretic mobility and adsorption investigations of ceria/sificate interaction. Journal of the Electrochemical Society, 151(10):G658 G662, [50] A. Jindal and S. V. Babu. Effect of ph on CMP of Copper and Tantalum. Journal of the Electrochemical Society, 151(10):G709 G716, [51] K. H. Bu and B. M. Moudgil. Colloidal silica based high selectivity shallow trench isolation (STI) chemical mechanical polishing (CMP) slurry. Materials Research Society Symposium Proceedings, 867:W8.5.1 W8.5.6, [52] C. W. Cho, S. K. Kim, U. Paik, J. G. Park, and W. M. Sigmund. Atomic force microscopy study of the role of molecular weight of poly(acrylic acid) in chemical mechanical planarization for shallow trench isolation. Journal of Materials Research, 21(2): , [53] G. B. Basim, I. U. Vakarelski, and B. M. Moudgil. Role of interaction forces in controlling the stability and polishing performance of cmp slurries. Journal of Colloid and Interface Science, 263(2): , [54] D. Boldridge. Morphological characterization of fumed silica aggregates. Aerosol Science and Technology, 44: , [55] K. Yokoyama, Y. Koike, A. Masuda, and M. Kawaguchi. Rheological properties of fumed silica suspensions in the presence of potassium chloride. Japanese Journal of Applied Physics Part 1-Regular Papers Brief Communications & Review Papers, 46(1): , [56] R. K. Iler. The Chemistry of Silica. Wiley Interscience, 3rd edition, [57] T. W. Healy. Stability of Aqueous Silica Sols. American Chemical Society,

155 [58] B. V. Derjaguin and L. Landau. Theory of the stability of strongly charged lyophobic sols and the adhesion of strongly charged particles in solution of electrolytes. Acta Physicochimica URSS, 14: , [59] E. G. Verwey and J. Th. G. Overbeek. Theory of the stability of lyophobic colloids. Elsevier, [60] P. C. Hiemenz and R. Rajagopalan. Principles of Colloid and Surface Chemistry. CRC Press, [61] L. H. Allen and E. Matijevic. Stability of colloidal silica: I. Effect of simple electrolytes. Journal of Colloid and Interface Science, 31(3): , [62] J. Depasse and A. Watillon. The stability of amorphous colloidal silica. Journal of Colloid and Interface Science, 33(3): , [63] R.G.Horn, D.T.Smith, andw.haller. Surfaceforcesandviscosityofwatermeasured between silica sheets. Chemical Physics Letters, 162(4-5): , [64] H. Yotsumoto and R. H. Yoon. Application of extended DLVO theory. 1. Stability of rutile suspensions. Journal of Colloid and Interface Science, 157(2): , [65] H. Yotsumoto and R. H. Yoon. Application of extended DLVO theory. 2. Stability of silica suspensions. Journal of Colloid and Interface Science, 157(2): , [66] G. V. Franks. Zeta potentials and yield stresses of silica suspensions in concentrated monovalent electrolytes: Isoelectric point shift and additional attraction. Journal of Colloid and Interface Science, 249(1):44 51, [67] A. C. J. H. Johnson, P. Greenwood, M. Hagstrom, Z. Abbas, and S. Wall. Aggregation of nanosized colloidal silica in the presence of various alkali cations investigated by the electrospray technique. Langmuir, 24(22): , [68] A. Amiri, G. Oye, and J. Sjoblom. Influence of ph, high salinity and particle concentration on stability and rheological properties of aqueous suspensions of fumed silica. Colloids and Surfaces A- Physicochemical and Engineering Aspects, 349(1-3):43 54, [69] A. Amiri, G. Oye, and J. Sjoblom. Stability and flow-induced flocculation of fumed silica suspensions in mixture of water-glycerol. Journal of Dispersion Science and Technology, 33(8): ,

156 [70] B. P. Binks and S. O. Lumsdon. Stability of oil-in-water emulsions stabilized by silica particles. Physical Chemistry Chemical Physics, 1(12): , [71] G. Vigil, Z. Xu, S. Steinberg, and J. Israelachvili. Interactions of silica surfaces. Journal of Colloid and Interface Science, 165(2): , [72] D. E. Yates and T. W. Healy. The structure of the silica/electrolyte interface. Journal of Colloid and Interface Science, 55(1):9 19, [73] M. Kobayashi, F. Juillerat, P. Galletto, P. Bowen, and M. Borkovec. Aggregation and charging of colloidal silica particles: Effect of particle size. Langmuir, 21(13): , [74] J. Depasse. Coagulation of colloidal silica by alkaline cations: Surface dehydration or interparticle bridging? Journal of Colloid and Interface Science, 194(1): , [75] S. Chen, G. Oye, and J. Sjoblom. Effect of ph and salt on rheological properties of Aerosil suspensions. Journal of Dispersion Science and Technology, 28(6): , [76] R.M.Pashley. DLVOandHydrationforcesbetweenmicasurfacesinLi +, Na +,K +, and Cs + electrolyte solutions - A correlation of double-layer and hydration forces with surface cation-exchange properties. Journal of Colloid and Interface Science, 83(2): , [77] G. Peschel, P. Belouschek, M. M. Muler, M. R. Muller, and R. Konig. The interaction of solid-surfaces in aqueous systems. Colloidal and Polymer Science, 260(4): , [78] J. P. Chapel. Electrolyte species-dependent hydration forces between silica surfaces. Langmuir, 10(11): , [79] Y. Otsubo. Size effects on the shear-thickening behavior of suspensions flocculated by polymer bridging. Journal of Rheology, 37(5): , [80] M. Kamibayashi, H. Ogura, and Y. Otsubo. Shear-thickening flow of nanoparticle suspensions flocculated by polymer bridging. Journal of Colloid and Interface Science, 321(2): ,

157 [81] J. Zebrowski, V. Prasad, W. Zhang, L. M. Walker, and D. A. Weitz. Shake-gels: shearinduced gelation of laponite-peo mixtures. Colloids and Surfaces A- Physiochemical and Engineering Aspects, 213(2-3): , [82] F. Bossard, V. Sfika, and C. Tsitsilianis. Rheological properties of physical gel formed by triblock polyampholyte in salt-free aqueous solutions. Macromolecules, 37(10): , [83] M. Singh and J. A. Byars. Jet-cooked high amylose corn starch and shortening composites for use in cake icings. Journal of Food Science, 76(8):E530 E535, [84] D. Sabanis and C. Tzia. Effect of hydrocolloids on selected properties of gluten-free dough and bread. Food Science and Technology International, 17(4): , [85] C. Fischer, S. A. Braun, P. E. Bourban, V. Michaud, C. J. G. Plummer, and J. A. E. Manson. Dynamic properties of sandwich structures with integrated shear-thickening fluids. Smart Materials and Structures, 15(5): , [86] H. A. Barnes. Shear thickening (dilatancy) in suspensions of non-aggregating solid particles dispersed in newtonian liquids. Journal of Rheology, 33(2): , [87] R. L. Hoffman. Discontinuous and dilatant viscosity behavior in concentrated suspensions. II. Theory and experimental tests. Journal of Colloid and Interface Science, 46(3): , [88] R. L. Hoffman. Discontinuous and dilatant viscosity behavior in concentrated suspensions. I. Observation of a flow instability. Journal of Rheology, 16(1): , [89] J. F. Brady and G. Bossis. Stokesian dynamics. Annual Review of Fluid Mechanics, 20: , [90] G. Bossis and J. F. Brady. The rheology of Brownian suspensions. Journal of Chemical Physics, 91(3): , [91] T. Phung and J. F. Brady. Microstructured fluids: Structure, diffusion and rheology of colloidal dispersions. AIP Conference Proceedings, 256:391, [92] H. M. Laun, R. Bung, and F. Schmidt. Rheology of extremely shear thickening polymer dispersions (passively viscosity switching fluids). Journal of Rheology, 35(6): ,

158 [93] H. M. Laun, R. Bung, S. Hess, W. Loose, O. Hess, K. Hahn, E. Hadicke, R. Hingmann, F. Schmidt, and P. Lindner. Rheological and small-angle neutron-scattering investigation of shear induced particle structures of concentrated polymer dispersions submitted to plane Poiseuille and Couette-flow. Journal of Rheology, 36(4): , [94] J. W. Bender and N. J. Wagner. Reversible shear thickening in monodisperse and bidisperse colloidal dispersions. Journal of Rheology, 40(5): , [95] B. J. Maranzano and N. J. Wagner. The effects of interparticle interactions and particle size on reversible shear thickening: Hard-sphere colloidal dispersions. Journal of Rheology, 45(5): , [96] B. J. Maranzano and N. J. Wagner. The effects of particle size on reversible shear thickening of concentrated colloidal dispersions. The Journal of Chemical Physics, 114(23): , [97] J. Vermant and M. J. Solomon. Flow-induced structure in colloidal suspensions. Journal of Physics-Condensed Matter, 17(4):R187 R216, [98] P. D Haene, J. Mewis, and G. G. Fuller. Scattering dichroism measurements of flowinduced structure of a shear thickening suspension. Journal of Colloid and Interface Science, 156(2): , [99] J. W. Bender and N. J. Wagner. Optical measurement of the contributions of colloidal forces to the rheology of concentrated suspensions. Journal of Colloid and Interface Science, 172(1): , [100] B. Kaffashi, V. T. O Brien, M. E. Mackay, and S. M. Underwood. Elastic-like and viscous-like components of the shear viscosity for nearly hard sphere, Brownian suspensions. Journal of Colloid and Interface Science, 187(1):22 28, [101] V. T. O Brien and M. E. Mackay. Stress components and shear thickening of concentrated hard sphere suspensions. Langmuir, 16(21): , [102] B. J. Maranzano and N. J. Wagner. Flow-small angle neutron scattering measurements of colloidal dispersion microstructure evolution through the shear thickening transition. Journal of Chemical Physics, 117(22): , [103] J. Bergenholtz, J. F. Brady, and M. Vicic. The non-newtonian rheology of dilute colloidal suspensions. Journal of Fluid Mechanics, 456: ,

159 [104] The Discovery Channel: MythBusters. MythBusters - Walking on Water - Ninja part 5/5. zy, [Cited August 10, 2011]. [105] Gestmusic: El Hormiguero. A pool filled with non-newtonian fluid [Cited August 10, 2011]. [106] A. Fall, N. Huang, F. Bertrand, G. Ovarlez, and D. Bonn. Shear thickening of cornstarch suspensions as a reentrant jamming transition. Physical Review Letters, 100(1), [107] A. Fall, A. Lemaitre, F. Bertrand, D. Bonn, and G. Ovarlez. Shear thickening and migration in granular suspensions. Physical Review Letters, 105(26), [108] A. Fall, F. Bertrand, G. Ovarlez, and D. Bonn. Shear thickening of cornstarch suspensions. Journal of Rheology, 56(3): , [109] E. Brown and H. M. Jaeger. Dynamic jamming point for shear thickening suspensions. Physical Review Letters, 103(8), [110] E. Brown, H. Zhang, N. A. Forman, B. W. Maynor, D. E. Betts, J. M. DeSimone, and H. M. Jaeger. Shear thickening and jamming in densely packed suspensions of different particle shapes. Physical Review E, 84(3, Part 1), [111] E. Brown and H. M. Jaeger. The role of dilation and confining stresses in shear thickening of dense suspensions. Journal of Rheology, 56(4): , [112] E. B. White, M. Chellamuthu, and J. P. Rothstein. Extensional rheology of a shearthickening cornstarch and water suspension. Rheologica Acta, 49(2): , [113] Q. D. Nguyen and D. V. Boger. Measuring the flow properties of yield stress fluids. Annual Review of Fluid Mechanics, 24:47 88, [114] B. R. Stanmore and D. W. Page. Yield stresses and sedimentation in dense fly-ash slurries. Powder Technology, 72(2): , [115] L. Leongpoi and D. G. Allen. Direct measurement of the yield stress of filamentous fermentation broths with the rotating vane technique. Biotechnology and Bioengineeering, 40(3): ,

160 [116] M. Mohseni, H. Kautola, and D. G. Allen. The viscoelastic nature of filamentous fermentation broths and its influence on the directly measured yield stress. Journal of Fermentation and Bioengineering, 83(3): , [117] J. S. Knutsen and M. W. Liberatore. Rheology of high-solids biomass slurries for biorefinery applications. Journal Of Rheology, 53(4): , [118] D. G. Thomas. III. Laminar-flow properties of flocculated suspensions. AIChE Journal, 7(3): , [119] Y. K. Leong, P. J. Scales, T. W. Healy, and D. V. Boger. Effect of particle-size on colloidal zirconia rheology at the isoelectric point. Journal of the American Ceramic Society, 78(8): , [120] P. C. Kapur, P. J. Scales, D. V. Boger, and T. W. Healy. Yield stress of suspensions loaded with size distributed particles. AIChE Journal, 43(5): , [121] J. J. Stickel and R. L. Powell. Fluid mechanics and rheology of dense suspensions. Annual Review of Fluid Mechanics, 37: , [122] X. Cheng, J. H. McCoy, J. N. Israelachvili, and I. Cohen. Imaging the microscopic structure of shear thinning and thickening colloidal suspensions. Science, 333(6047): , [123] A. S. Lim, S. L. Lopatnikov, N. J. Wagner, and J. W. Gillespie. Investigating the transient response of a shear thickening fluid using the split Hopkinson pressure bar technique. Rheologica Acta, 49(8): , [124] R. G. Egres and N. J. Wagner. The rheology and microstructure of acicular precipitated calcium carbonate colloidal suspensions through the shear thickening transition. Journal Of Rheology, 49(3): , [125] E. Bertrand, J. Bibette, and V. Schmitt. From shear thickening to shear-induced jamming. Physical Review E, 66(6, Part 1), [126] R. L. Hoffman. Discontinuous and dilatant viscosity behavior in concentrated suspensions. 3. Necessary conditions for their occurrence in viscometric flows. Advances in Colloid and Interface Science, 17: ,

161 [127] N. C. Crawford, S. K. R. Williams, D. Boldridge, and M. W. Liberatore. Shear thickening of chemical mechanical polishing slurries under high shear. Rheologica Acta, 51(7): , [128] Y. Dziechciarek, J. J. G. van Soest, and A. P. Philipse. Preparation and properties of starch-based colloidal microgels. Journal of Colloid and Interface Science, 246(1):48 59, [129] H. Senff and W. Richtering. Influence of cross-link density on rheological properties of temperature-sensitive microgel suspensions. Colloid and Polymer Science, 278(9): , [130] Y. Li, R. de Vries, T. Slaghek, J. Timmermans, M. A. Cohen Stuart, and W. Norde. Preparation and characterization of oxidized starch polymer microgels for encapsulation and controlled release of functional ingredients. Biomacromolecules, 10(7): , [131] R. Cross. Standing, walking, running, and jumping on a force plate. American Journal of Physics, 67(4): , [132] S. R. Waitukaitis and H. M. Jaeger. Impact-activated solidification of dense suspensions via dynamic jamming fronts. Nature, 487(7406): , [133] M. E. Cates, M. D. Haw, and C. B. Holmes. Dilatancy, jamming, and the physics of granulation. Journal of Physics-Condensed Matter, 17(24, SI):S2517 S2531, [134] E. Brown, N. A. Forman, C. S. Orellana, H. Zhang, B. W. Maynor, D. E. Betts, J. M. DeSimone, and H. M. Jaeger. Generality of shear thickening in dense suspensions. Nature Materials, 9(3): , [135] M. I. Smith, R. Besseling, M. E. Cates, and V. Bertola. Dilatancy in the flow and fracture of stretched colloidal suspensions. Nature Communications, 1, [136] J. Chevalier, O. Tillement, and F. Ayela. Structure and rheology of SiO 2 nanoparticle suspensions under very high shear rates. Physical Review E, 80(5), [137] R. B. Bird, W. E. Stewart, and E. N. Lightfoot. Transport Phenomena. John Wiley & Sons, 2nd edition,

162 [138] M. W. Collins and M. Keynejad. Effects of temperature-dependence of viscosity and viscous dissipation on laminar flow heat transfer in circular tubes. International Journal of Heat and Fluid Flow, 4(1):33 42, [139] J. R. Abbott, N. Tetlow, A. L. Graham, S. A. Altobelli, E. Fukushima, L. A. Mondy, and T. S. Stephens. Experimental-observations of particle migration in concentrated suspensions - Couette-flow. Journal of Rheology, 35(5): , [140] B. K. Chapman. Shear induced migration phenomena in concentrated suspensions. PhD thesis, University of Notre Dame, [141] A. W. Chow, S. W. Sinton, J. H. Iwamiya, and T. S. Stephens. Shear-induced particle migration in Couette and parallel-plate viscometers - NMR imaging and stress measurements. Physics of Fluids, 6(8): , [142] G. P. Krishnan, S. Beimfohr, and D. T. Leighton. Shear-induced radial segregation in bidisperse suspensions. Journal of Fluid Mechanics, 321: , [143] R. I. Tanner and M. Keentok. Shear fracture in cone-plate rheometry. Journal of Rheology, 27(1):47 57, [144] W. H. Boersma, J. Laven, and H. N. Stein. Shear thickening(dilatancy) in concentrated dispersions. AIChe Journal, 36(3): , [145] C. O. Osuji, C. Kim, and D. A. Weitz. Shear thickening and scaling of the elastic modulus in a fractal colloidal system with attractive interactions. Physical Review E, 77(6): , [146] A. S. Negi and C. O. Osuji. New insights on fumed colloidal rheology- shear thickening and vorticity-aligned structures in flocculating dispersions. Rheologica Acta, 48: , [147] M. E. Cates, J. P. Wittmer, J. P. Bouchaud, and P. Claudin. Jamming, force chains, and fragile matter. Physical Review Letters, 81(9): , [148] D. Lootens, H. Van Damme, and P. Hebraud. Giant stress fluctuations at the jamming transition. Physical Review Letters, 90(17), [149] C. B. Holmes, M. Fuchs, and M. E. Cates. Jamming transitions in a schematic model of suspension rheology. Europhysics Letters, 63(2): ,

163 [150] J. R. Melrose and R. C. Ball. Contact networks in continuously shear thickening colloids. Journal of Rheology, 48(5): , [151] C. B. Holmes, M. E. Cates, M. Fuchs, and P. Sollich. Glass transitions and shear thickening suspension rheology. Journal of Rheology, 49(1): , [152] J. Delhommelle and J. Petravic. Shear thickening in a model colloidal suspension. Journal of Chemical Physics, 123(7), [153] D. Lootens, H. van Damme, Y. Hemar, and P. Hebraud. Dilatant flow of concentrated suspensions of rough particles. Physical Review Letters, 95(26), [154] M. Sellitto and J. Kurchan. Shear-thickening and entropy-driven reentrance. Physical Review Letters, 95(23), [155] K. M. Beazley. Industrial aqueous suspensions. Rheometry: Industrial Applications, page , Research Studies Press, Chichester, [156] M. E. Fagan and C. F. Zukoski. The rheology of charge stabilized silica suspensions. Journal of Rheology, 41(2): , [157] S. R. Raghavan and S. A. Khan. Shear-thickening response of fumed silica suspensions under steady and oscillatory shear. Journal of Colloid and Interface Science, 185(1):57 67, [158] J. D. Lee, J. H. So, and S. M. Yang. Rheological behavior and stability of concentrated silica suspensions. Journal of Rheology, 43(5): , [159] G. V. Franks, Z. Zhou, N. J. Duin, and D. V. Boger. Effect of interparticle forces on shear thickening of oxide suspensions. Journal of Rheology, 44(4): , [160] H. M. Laun. Rheological properties of polymer dispersions with respect to shearinduced particle structures: Progress and trends in rheology II. Rheologica Acta, 26: , [161] J. N. Israelachvili and H. Wennerstrom. Entropic forces between amphiphilic surfaces in liquids. Journal of Physical Chemistry, 96(2): ,

164 [162] Th. F. Tadros and J. Lyklema. Adsorption of potential-determining ions at the silicaaqueous electrolyte interface and the role of some cations. Journal of Electroanalytical Chemistry and Interfacial Electrochemistry, 17(3-4): , [163] J. Sonnefeld, A. Gobel, and W. Vogelsberger. Surface charge density on spherical silica particles in aqueous alkali chloride solutions. Colloid & Polymer Science, 273: , [164] M. Kosmulski and E. Matijevic. Formation of the surface charge on silica in mixed solvents. Colloid & Polymer Science, 270: , [165] M. Kosmulski. Positive electrokinetic charge of silica in the presence of chlorides. Journal of Colloid and Interface Science, 208(2): , [166] F. C. Chang, P. Kumar, R. Singh, K. Balasundaram, J. Lee, J. Lee, and R. K. Singh. Role of interparticle forces during stress-induced agglomeration of CMP slurries. Colloids and Surfaces A- Physiochemical and Engineering Aspects, 389(1-3):33 37, [167] A. S. Dukhin and P. J. Goetz. Characterization of aggregation phenomena by means of acoustic and electroacoustic spectroscopy. Colloids and Surfaces A: Physicochemical and Engineering Aspects, 144(13):49 58, [168] A. S. Dukhin and P. J. Goetz. Acoustic and electroacoustic spectroscopy. Langmuir, 12(18): , [169] A. S. Dukhin and P. J. Goetz. Characterization of chemical polishing materials (monomodal and bimodal) by means of acoustic spectroscopy. Colloids and Surfaces A: Physicochemical and Engineering Aspects, 158(3): , [170] B. E. Conway. Ionic hydration in chemistry and biophysics. Elsevier, [171] J. Eastman. Colloid Stability. Blackwell Publishing, [172] Z. Zhou, P. J. Scales, and D. V. Boger. Chemical and physical control of the rheology of concentrated metal oxide suspensions. Chemical Engineering Science, 56(9): , [173] L. Matia-Merino, K. K. Tha Goh, and H. Singh. A natural shear-thickening watersoluble polymer from the fronds of the black tree fern, Cyathea medullaris: Influence of salt, ph and temperature. Carbohydrate Polymers, 87(1): ,

165 [174] A. L. Kjoniksen, M. Hiorth, and B. Nystrom. Association under shear flow in aqueous solutions of pectin. European Polymer Journal, 41(4): , [175] H. Tan, K. C. Tam, and R. D. Jenkins. Rheological properties of semidilute hydrophobically modified alkali-soluble emulsion polymers in sodium dodecyl sulfate and salt solutions. Langmuir, 16(13): , [176] Y. J. Feng, B. Grassl, L. Billon, A. Khoukh, and J. Francois. Effects of NaCl on steady rheological behaviour in aqueous solutions of hydrophobically modified polyacrylamide and its partially hydrolyzed analogues prepared by post-modification. Polymer International, 51(10): , [177] V. Gopalakrishnan and C. F. Zukoski. Effect of attractions on shear thickening in dense suspensions. Journal of Rheology, 48(6): , [178] S. B. Johnson, P. J. Scales, and T. W. Healy. The binding of monovalent electrolyte ions on a-alumina. I. Electroacoustic studies at high electrolyte concentrations. Langmuir, 15(8): , [179] W. Wachter, S. Fernandez, R. Buchner, and G. Hefter. Ion association and hydration in aqueous solutions of LiCl and Li 2 SO 4 by dielectric spectroscopy. The Journal of Physical Chemistry B, 111(30): , [180] A. M. Tikhonov. Compact layer of alkali ions at the surface of colloidal silica. Journal of Physical Chemistry C, 111(2): , [181] M. Colic, M. L. Fisher, and G. V. Franks. Influence of ion size on short-range repulsive forces between silica surfaces. Langmuir, 14(21): , [182] G. M. Torrie, P. G. Kusalik, and G. N. Patey. Theory of the electrical double-layer - Ion size effects in a molecular-solvent. Journal of Chemical Physics, 91(10): , [183] J. R. Melrose and R. C. Ball. Proceedings of the XIIIth International Congress of Rheology, 2:421, [184] J. N. Israelachvili and D. Tabor. The measurement of van der Waals dispersion forces in the range 1.5 to 130 nm. Proceedings of the Royal Society London A, 331(1584):19 38,

166 [185] J. N. Israelachvili1. Forces between surfaces in liquids. Advances in Colloid and Interface Science, 16:31 47, [186] R. G. Horn. Surface forces and their action in ceramic materials. Journal of the American Ceramic Society, 73(5): , [187] R. G. Horn and D. T. Smith. Measuring surface forces to explore surface-chemistry - Mica, sapphire, and silica. Journal of Non-Crystalline Solids, 120(1-3):72 81, [188] R. M. Pashley. Hydration forces between mica surfaces in electrolyte-solutions. Advances in Colloid and Interface Science, 16:57 62, [189] R. M. Pashley and J. N. Israelachvili. DLVO and hydration forces between mica surfacesinmg 2+,Ca 2+,Sr 2+,Ba 2+ ChlorideSolutions. Journal of Colloid and Interface Science, 97(2): , [190] J. A. Molina-Bolivar, F. Galisteo-Gonzalez, and R. Hidalgo-Alvarez. The role played by hydration forces in the stability of protein-coated particles: Non-classical DLVO behaviour. Colloids and Surfaces B- Biointerfaces, 14(1-4):3 17, [191] J. L. Trompette and M. J. Clifton. Influence of ionic specificity on the microstructure and the strength of gelled colloidal silica suspensions. Journal of Colloid and Interface Science, 276(2): , [192] S. Marcelja and N. Radic. Repulsion of interfaces due to boundary water. Chemical Physics Letters, 42(1): , [193] J. A. Molina-Bolivar and J. L. Ortega-Vinuesa. How proteins stabilize colloidal particles by means of hydration forces. Langmuir, 15(8): , [194] N. C. Crawford, B. Yohe, S. K. R. Williams, D. Boldridge, and M. W. Liberatore. Shear thickening and shear-induced agglomeration of chemical mechanical polishing slurries using electrolytes. Rheologica Acta, DOI: /s [195] N. J. Wagner. Rheo-optics. Current Opinion in Colloid & Interface Science, 3(4): , [196] B. Tolla and D. Boldridge. Distortion of single-particle optical sensing (SPOS) particle count by sub-countable particles. Particle & Particle Systems Characterization, 27:21 31,

167 [197] S. R. Kline. Reduction and analysis of SANS and USANS data using IGOR Pro. Journal of Applied Crystallography, 39(6): , [198] TA Instruments. AR series small angle light-scattering (SALS) accessory manual. TA Instruments, Newark, DE, [199] P. Debye and A. M. Bueche. Scattering by an inhomogeneous solid. Journal of Applied Physics, 20(6): , [200] L. M. Walker, W. A. Kernick, and N. J. Wagner. In situ analysis of the defect texture in liquid crystal polymer solutions under shear. Macromolecules, 30(3): , [201] M. W. Liberatore, N. B. Wyatt, M. Henry, P. L. Dubin, and E. Foun. Shearinduced phase separation in polyelectrolyte/mixed micelle coacervates. Langmuir, 25(23): , [202] M. Bielmann, U. Mahajan, and R. K. Singh. Effect of particle size during tungsten chemical mechanical polishing. Electrochemical and Solid State Letters, 2(8): , [203] L.Anthony, J.Miner, M.Baker, W.Lai, J.Sowell, A.Maury, andy.obeng. Thehow s and why s of characterizing particle size distributions in CMP slurries. Electrochemical Society Proceedings, 98(7):181196, [204] J. Kestin, M. Sokolov, and W. A. Wakeham. Viscosity of liquid water in the range -8 o C to 150 o C. Journal of Physical Chemistry Reference Data, 7(3): , [205] W. B. Russel, D. A. Saville, and W. R. Schowalter. Colloidal Dispersions. Cambridge University Press,

168 APPENDIX A - PARALLEL-PLATE GAP ERROR ANALYSIS In 1985 Connelly and Greener [30] developed a method for assessing the gap error for a parallel-plate rheological system. Their procedure was recently modified in 2008 by Pipe et al. [44] and is described below. The apparent shear rate γ a is expressed as: γ a = ΩR H, (A.1) where R is the radius of the top plate, H is the specified gap height, and Ω is the angular velocity set by the rheometer. The apparent viscosity η a reported by the rheological software is calculated from the relationship: η a = τ γ a, (A.2) where τ is the shear stress at the outer edge of the top plate. Shear stress is computed from the measured torque, M, given as: τ = 2M πr 3 = η true γ true, (A.3) where γ true and η true are the true shear rate and viscosity, respectively. The combination of Equations A.1, A.2, and A.3 results in: η a = η true γ true ΩR/H. (A.4) As shown earlier in Section (Figure 2.5), there is an error (ɛ) that exists when zeroing the gap. As proposed by Connelly and Greener [30], the easiest way to correct for this is to replace H with H+ɛ in Equation A.1 to compute the true shear rate γ true, given as: γ true = ΩR (H +ɛ). (A.5) By combining Equations A.4 and A.5 and rearranging to achieve slope-intercept form, the following relationship is obtained: 1 = 1 (1+ ɛ η a η true H ). (A.6) 148

169 Equation A.6 supplies a linear regression between apparent viscosity η a and gap height H, where the intercept is 1/η true and the slope is given as ɛ/η true. This relationship allows for the calculation of gap error, ɛ, over a wide range of gap heights. The gap error analysis for the rheometer and plates used in this work is depicted in Figure A.1 and Figure A.2, for three Newtonian fluids: DI water and two mineral oil viscosity standards, N10 and N350. Each fluid was exposed to a constant shear rate of 1,000 s 1 for 30 seconds, collecting a data point every 3 seconds (this analysis was also performed at 10,000 and 100,000 s 1 ; Figure A.3). The ten data points collected over the 30 second sampling period were averaged and a single point was plotted. The above procedure was repeated for all three fluids at gap heights varying from 30 µm to 400 µm. Figure A.1: Normalized viscosity (η a /η 0 ) for DI water (circles), viscosity standard N10 (triangles), and viscosity standard N350 (squares) as a function of measurement gap height at an applied shear rate of 1,000 s 1. As the gap spacing between the two parallel-plates is increased, the apparent (or measured) viscosity (η a ) approaches the nominal viscosity (η 0 ) for each of these well characterized fluids (Figure A.1). As expected, the gap error ɛ becomes insignificant at the larger gap heights, allowing for more accurate measurement of a fluid s true viscosity. All three 149

170 Figure A.2: Inverse viscosity (1/η a ) versus inverse rheometer gap spacing (1/H) for DI water (circles), viscosity standard N10(triangles), and viscosity standard N350(squares). The lines represent linear fits to the measured data (R 2 values provided on plot) used for calculating true sample viscosities η true and experimental gap error ɛ. samples follow the linear relationship described by Equation A.6 (Figure A.2); enabling the true viscosity η true and the size of the gap error ɛ to be calculated. The results are tabulated in Table A.1, where the nominal viscosity, η 0, is compared to the measured true viscosity, η true, found from the intercept using the linear fit of Equation A.6. Table A.1: Summary of the gap error analysis results for DI water and viscosity standards N10 and N350 at 25 C. Sample ɛ (µm) η true (Pa s) η 0 (Pa s) Error (%) DI Water N N The measured true viscosity, η true, and the nominal (or stated) viscosity, η 0, disagree within 5% for all three liquids. Additionally, the calculated gap errors range between 30 µm to 50 µm. All results from the gap error analysis agree very well with data published by Pipe et al. [44]. The gap errors reported by Pipe et al. showed the lowest viscosity fluids displayed 150

171 the greatest degree of gap measurement error. However, this is contradictory to the data presented here where the largest ɛ value is from the fluid with the largest viscosity. Therefore, the conclusion presented by Pipe et al. that secondary flows generated in low viscosity fluids are the source of additional error, is shown to be deficient in this case. Regardless of where the entire source of error is derived from, the majority of error was demonstrated to be from the misalignment of the top plate. Fortunately, this error is systematic in nature and measured (apparent) data can be corrected in order to report true values of fluid properties under high shear. Figure A.3: Normalized viscosity (η a /η 0 ) for DI water as a function of measurement gap heightatanappliedshearrateof1,000(circles),10,000(triangles),and100,000s 1 (squares). 151

172 APPENDIX B - ADDITIONAL RHEO-SALS DATA The fundamental idea behind small-angle light scattering (SALS) is that when visible light encounters an object, an interference between the radiation source and the electrons of the object occurs. The interference results in a directional change of the light s pathway. This shift in path, most commonly referred to as diffraction or scattering, becomes evident when the object is similar in size to the wavelength of incident light. The scattering pattern created by the diffraction of light can be used to obtain information on the size, shape, orientation, and spatial distribution of the molecules or particles generating the scattering. SALS experiments provide information regarding a material s particle structure, size, and deformation. The size scale this method can probe is solely dependent on the scattering vector q (Figure B.1), which is defined as the difference between the wave vector of the incident (k i ) and scattered (k s ) light. Figure B.1: Schematic depicting the scattering vector q, scattering angle θ, integration angle φ, and resulting scattering pattern. If k i is defined as 2π/λ, where λ is the wavelength of light in the scattering medium, simple trigonometry can shown: q = 4πsinθ/2, (B.1) λ where the scattering angle, θ, defines the direction in which the incident light has changed. The approximate magnitude of length scale, L, being probed can be obtained from Bragg s law: 152

173 λ = 2Lsinθ/2. (B.2) By combining Equations B.1 and B.2, the scattering vector q can be related to the probing length: L = 2π q. (B.3) As shown in Equation B.3, the magnitude of q is inversely related to the length scale being investigated. Thus, the greater the probing length (L) the smaller the q value and hence, the smaller the scattering angle (θ). The wavelength of light and the scattering angle can be altered in order to examine different length scales. Figure B.2: Intensity (I 0.5 ) as a function of scattering vector (q 2 ) using the Debye-Bueche method. The slope and intercept of the line is used to derive the characteristic length (a C ) as discussed in Chapter

174 Figure B.3: Steady state shear rate ramp (filled symbols) and reduction (open symbols) for a 25 wt% fumed silica slurry with no added KCl (triangles) and with 0.17 M KCl (squares represent the shear thickened sample, while the circles are the non-thickened sample). The corresponding DLS analysis of the samples presented here can be found in Figure

175 APPENDIX C - COPYRIGHT PERMISSIONS This Appendix includes expressed, written permission from the copyright holders granting permission for republication of the material contained in Chapters 3 (Elsevier), 4 (Springer), and 5 (Springer) of this thesis. 155

176 156

177 157

178 158

179 159

180 160

181 161

182 162

183 163

184 164

185 165

186 166

187 167

SHEAR THICKENING IN COLLOIDAL SILICA CHEMICAL MECHANICAL POLISHING SLURRIES

SHEAR THICKENING IN COLLOIDAL SILICA CHEMICAL MECHANICAL POLISHING SLURRIES SHEAR THICKENING IN COLLOIDAL SILICA CHEMICAL MECHANICAL POLISHING SLURRIES by Anastasia Krasovsky A thesis submitted to the Faculty and the Board of Trustees of the Colorado School of Mines in partial

More information

CHAPTER 3. CONVENTIONAL RHEOMETRY: STATE-OF-THE-ART. briefly introduces conventional rheometers. In sections 3.2 and 3.

CHAPTER 3. CONVENTIONAL RHEOMETRY: STATE-OF-THE-ART. briefly introduces conventional rheometers. In sections 3.2 and 3. 30 CHAPTER 3. CONVENTIONAL RHEOMETRY: STATE-OF-THE-ART This chapter reviews literature on conventional rheometries. Section 3.1 briefly introduces conventional rheometers. In sections 3.2 and 3.3, viscometers

More information

Rheology of strongly sedimenting magnetite suspensions

Rheology of strongly sedimenting magnetite suspensions ANNUAL TRANSACTIONS OF THE NORDIC RHEOLOGY SOCIETY, VOL. 13, 05 Rheology of strongly sedimenting magnetite suspensions Jan Gustafsson1, Martti Toivakka1, and Kari K. Koskinen2 1 Laboratory of Paper Coating

More information

Lecture 16 Chemical Mechanical Planarization

Lecture 16 Chemical Mechanical Planarization Lecture 16 Chemical Mechanical Planarization 1/75 Announcements Term Paper: The term paper should be handed in today: Tuesday 21 st November. The term paper will be returned to you in class on Tuesday

More information

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond Cass Shang, Robert Small and Raymond Jin* DuPont Electronic Technologies, 2520 Barrington Ct., Hayward,

More information

Holographic Characterization of Agglomerates in CMP Slurries

Holographic Characterization of Agglomerates in CMP Slurries Holographic Characterization of Agglomerates in CMP Slurries Total Holographic Characterization (THC) Comparison of THC to other technologies Dynamic Light Scattering (DLS) Scanning Electron Microscopy

More information

Colloidal Suspension Rheology Chapter 1 Study Questions

Colloidal Suspension Rheology Chapter 1 Study Questions Colloidal Suspension Rheology Chapter 1 Study Questions 1. What forces act on a single colloidal particle suspended in a flowing fluid? Discuss the dependence of these forces on particle radius. 2. What

More information

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure GENERAL ENGINEERING AND RESEARCH National Science Foundation SBIR Phase II Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure Robin V. Ihnfeldt, Ph.D. July 11, 2016 Outline Introduction

More information

CENG 501 Examination Problem: Estimation of Viscosity with a Falling - Cylinder Viscometer

CENG 501 Examination Problem: Estimation of Viscosity with a Falling - Cylinder Viscometer CENG 501 Examination Problem: Estimation of Viscosity with a Falling - Cylinder Viscometer You are assigned to design a fallingcylinder viscometer to measure the viscosity of Newtonian liquids. A schematic

More information

SOLUTIONS TO CHAPTER 5: COLLOIDS AND FINE PARTICLES

SOLUTIONS TO CHAPTER 5: COLLOIDS AND FINE PARTICLES SOLUTIONS TO CHAPTER 5: COLLOIDS AND FINE PARTICLES EXERCISE 5.1: Colloidal particles may be either dispersed or aggregated. (a) What causes the difference between these two cases? Answer in terms of interparticle

More information

Contents. Preface XIII. 1 General Introduction 1 References 6

Contents. Preface XIII. 1 General Introduction 1 References 6 VII Contents Preface XIII 1 General Introduction 1 References 6 2 Interparticle Interactions and Their Combination 7 2.1 Hard-Sphere Interaction 7 2.2 Soft or Electrostatic Interaction 7 2.3 Steric Interaction

More information

Contents. Preface XIII

Contents. Preface XIII V Contents Preface XIII 1 General Introduction 1 1.1 Fundamental Knowledge Required for Successful Dispersion of Powders into Liquids 1 1.1.1 Wetting of Powder into Liquid 1 1.1.2 Breaking of Aggregates

More information

Effect of Soft Agglomerates on CMP Slurry Performance

Effect of Soft Agglomerates on CMP Slurry Performance Journal of Colloid and Interface Science 256, 137 142 (2002) doi:10.1006/jcis.2002.8352 Effect of Soft Agglomerates on CMP Slurry Performance G. Bahar Basim and Brij M. Moudgil 1 Engineering Research Center

More information

RHEOLOGY Principles, Measurements, and Applications. Christopher W. Macosko

RHEOLOGY Principles, Measurements, and Applications. Christopher W. Macosko RHEOLOGY Principles, Measurements, and Applications I -56081-5'79~5 1994 VCH Publishers. Inc. New York Part I. CONSTITUTIVE RELATIONS 1 1 l Elastic Solid 5 1.1 Introduction 5 1.2 The Stress Tensor 8 1.2.1

More information

Rheometry. II.1 Introduction

Rheometry. II.1 Introduction II Rheometry II.1 Introduction Structured materials are generally composed of microstructures dispersed in a homogeneous phase [30]. These materials usually have a yield stress, i.e. a threshold stress

More information

Suspension Stability; Why Particle Size, Zeta Potential and Rheology are Important

Suspension Stability; Why Particle Size, Zeta Potential and Rheology are Important ANNUAL TRANSACTIONS OF THE NORDIC RHEOLOGY SOCIETY, VOL. 20, 2012 Suspension Stability; Why Particle Size, Zeta Potential and Rheology are Important Mats Larsson 1, Adrian Hill 2, and John Duffy 2 1 Malvern

More information

QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS

QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS QUANTIFICATION OF PARTICLE AGGLOMERATION DURING CHEMICAL MECHANICAL POLISHING OF METALS AND DIELECTRICS Slurry Loop Shear Flow Agglomeration Inter-particle Force Aniruddh J. Khanna, Rajiv K. Singh Materials

More information

Contents. Preface XI Symbols and Abbreviations XIII. 1 Introduction 1

Contents. Preface XI Symbols and Abbreviations XIII. 1 Introduction 1 V Contents Preface XI Symbols and Abbreviations XIII 1 Introduction 1 2 Van der Waals Forces 5 2.1 Van der Waals Forces Between Molecules 5 2.1.1 Coulomb Interaction 5 2.1.2 Monopole Dipole Interaction

More information

Fluid Mechanics II Viscosity and shear stresses

Fluid Mechanics II Viscosity and shear stresses Fluid Mechanics II Viscosity and shear stresses Shear stresses in a Newtonian fluid A fluid at rest can not resist shearing forces. Under the action of such forces it deforms continuously, however small

More information

CHAPTER TWO: EXPERIMENTAL AND INSTRUMENTATION TECHNIQUES

CHAPTER TWO: EXPERIMENTAL AND INSTRUMENTATION TECHNIQUES CHAPTER TWO: EXPERIMENTAL AND INSTRUMENTATION TECHNIQUES 25 2.1 INSTRUMENTATION The prepared samples were characterized using various techniques. Among which are Dynamic Light Scattering, Zeta Potential

More information

Lecture 7: Rheology and milli microfluidic

Lecture 7: Rheology and milli microfluidic 1 and milli microfluidic Introduction In this chapter, we come back to the notion of viscosity, introduced in its simplest form in the chapter 2. We saw that the deformation of a Newtonian fluid under

More information

AN014e. Non-standard geomtries for rheological characterization of complex fluids. A. Franck, TA Instruments Germany

AN014e. Non-standard geomtries for rheological characterization of complex fluids. A. Franck, TA Instruments Germany Non-standard geomtries for rheological characterization of complex fluids A. Franck, TA Instruments Germany AN14e Keywords: systemic rheology, rheo-reactor, s, product formulation, s, bitumen, Couette

More information

*blood and bones contain colloids. *milk is a good example of a colloidal dispersion.

*blood and bones contain colloids. *milk is a good example of a colloidal dispersion. Chap. 3. Colloids 3.1. Introduction - Simple definition of a colloid: a macroscopically heterogeneous system where one component has dimensions in between molecules and macroscopic particles like sand

More information

Rotational viscometers

Rotational viscometers 42 Non-Newtonian Flow in the Process Industries Rotational viscometers Due to their relative importance as tools for the rheological characterisation of non-newtonian fluid behaviour, we concentrate on

More information

Middle East Technical University Department of Mechanical Engineering ME 305 Fluid Mechanics I Fall 2018 Section 4 (Dr.

Middle East Technical University Department of Mechanical Engineering ME 305 Fluid Mechanics I Fall 2018 Section 4 (Dr. Reading Assignments Middle East Technical University Department of Mechanical Engineering ME 305 Fluid Mechanics I Fall 2018 Section 4 (Dr. Sert) Study Set 1 You can find the answers of some of the following

More information

2. Modeling of shrinkage during first drying period

2. Modeling of shrinkage during first drying period 2. Modeling of shrinkage during first drying period In this chapter we propose and develop a mathematical model of to describe nonuniform shrinkage of porous medium during drying starting with several

More information

Pharmaceutics I صيدالنيات 1. Unit 6

Pharmaceutics I صيدالنيات 1. Unit 6 Pharmaceutics I صيدالنيات 1 Unit 6 1 Rheology of suspensions Rheology, the study of flow, addresses the viscosity characteristics of powders, fluids, and semisolids. Materials are divided into two general

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization Mechanics of Contact and Lubrication, MTM G230 Department of Mechanical & Industrial Enineering Northeastern University Spring 2006 Chemical Mechanical Planarization George Calota Northeastern University

More information

ANALYSIS OF LOW DENSITY PARTICLES USING DIFFERENTIAL CENTRIFUGAL SEDIMENTATION

ANALYSIS OF LOW DENSITY PARTICLES USING DIFFERENTIAL CENTRIFUGAL SEDIMENTATION ANALYSIS OF LOW DENSITY PARTICLES USING DIFFERENTIAL CENTRIFUGAL SEDIMENTATION Conventional Centrifugal Methods Centrifugal sedimentation of particles suspended in a fluid is a well known method (1, 2)

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Supporting Information Graphene transfer method 1 : Monolayer graphene was pre-deposited on both

More information

(2.1) Is often expressed using a dimensionless drag coefficient:

(2.1) Is often expressed using a dimensionless drag coefficient: 1. Introduction Multiphase materials occur in many fields of natural and engineering science, industry, and daily life. Biological materials such as blood or cell suspensions, pharmaceutical or food products,

More information

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM) Basic Laboratory Materials Science and Engineering Atomic Force Microscopy (AFM) M108 Stand: 20.10.2015 Aim: Presentation of an application of the AFM for studying surface morphology. Inhalt 1.Introduction...

More information

Shell Balances in Fluid Mechanics

Shell Balances in Fluid Mechanics Shell Balances in Fluid Mechanics R. Shankar Subramanian Department of Chemical and Biomolecular Engineering Clarkson University When fluid flow occurs in a single direction everywhere in a system, shell

More information

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing Kyungyoon Noh, Nannaji Saka and Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology

More information

AGITATION AND AERATION

AGITATION AND AERATION AGITATION AND AERATION Although in many aerobic cultures, gas sparging provides the method for both mixing and aeration - it is important that these two aspects of fermenter design be considered separately.

More information

Effect of Particle Size on Thermal Conductivity and Viscosity of Magnetite Nanofluids

Effect of Particle Size on Thermal Conductivity and Viscosity of Magnetite Nanofluids Chapter VII Effect of Particle Size on Thermal Conductivity and Viscosity of Magnetite Nanofluids 7.1 Introduction 7.2 Effect of Particle Size on Thermal Conductivity of Magnetite Nanofluids 7.3 Effect

More information

ENVR 116 Introduction to Aerosol Science December 17, 2001 FINAL EXAMINATION

ENVR 116 Introduction to Aerosol Science December 17, 2001 FINAL EXAMINATION ENVR 116 Introduction to Aerosol Science December 17, 2001 FINAL EXAMINATION Please answer all questions on the attached sheets Answer Question No. 1 and 4 of the remaining 6 questions. No extra credit

More information

The Mechanics of CMP and Post-CMP Cleaning

The Mechanics of CMP and Post-CMP Cleaning The Mechanics of CMP and Post-CMP Cleaning Sinan Müftü Ahmed Busnaina George Adams Department of Mechanical, Industrial and Manuf. Engineering Northeastern University Boston, MA 02115 Introduction Objective

More information

Physics and Chemistry of Interfaces

Physics and Chemistry of Interfaces Hans Jürgen Butt, Karlheinz Graf, and Michael Kappl Physics and Chemistry of Interfaces Second, Revised and Enlarged Edition WILEY- VCH WILEY-VCH Verlag GmbH & Co. KGaA Contents Preface XI 1 Introduction

More information

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Chapter 3 Engineering Science for Microsystems Design and Fabrication Lectures on MEMS and MICROSYSTEMS DESIGN and MANUFACTURE Chapter 3 Engineering Science for Microsystems Design and Fabrication In this Chapter, we will present overviews of the principles of physical and

More information

Slide 1 Raymond Jin, Adcon Lab, Inc.

Slide 1 Raymond Jin, Adcon Lab, Inc. Volume Production Proven Advanced Nanometer Slurries for CMP Applications, Capable of Recycling and Extendable to Larger Si Wafer Sizes and Future IC Technology Nodes Raymond R. Jin, X. L. Song, S. M.

More information

SIMULATION IN MAGNETIC FIELD ENHANCED CENTRIFUGATION

SIMULATION IN MAGNETIC FIELD ENHANCED CENTRIFUGATION SIMULATION IN MAGNETIC FIELD ENHANCED CENTRIFUGATION Dipl.-Ing. Johannes Lindner*, Dipl.-Ing. Katharina Menzel, Prof. Dr.-Ing. Hermann Nirschl Institute of Mechanical Process Engineering and Mechanics

More information

C ONTENTS CHAPTER TWO HEAT CONDUCTION EQUATION 61 CHAPTER ONE BASICS OF HEAT TRANSFER 1 CHAPTER THREE STEADY HEAT CONDUCTION 127

C ONTENTS CHAPTER TWO HEAT CONDUCTION EQUATION 61 CHAPTER ONE BASICS OF HEAT TRANSFER 1 CHAPTER THREE STEADY HEAT CONDUCTION 127 C ONTENTS Preface xviii Nomenclature xxvi CHAPTER ONE BASICS OF HEAT TRANSFER 1 1-1 Thermodynamics and Heat Transfer 2 Application Areas of Heat Transfer 3 Historical Background 3 1-2 Engineering Heat

More information

Table of Contents. Preface... xiii

Table of Contents. Preface... xiii Preface... xiii PART I. ELEMENTS IN FLUID MECHANICS... 1 Chapter 1. Local Equations of Fluid Mechanics... 3 1.1. Forces, stress tensor, and pressure... 4 1.2. Navier Stokes equations in Cartesian coordinates...

More information

CITY UNIVERSITY OF HONG KONG. Theoretical Study of Electronic and Electrical Properties of Silicon Nanowires

CITY UNIVERSITY OF HONG KONG. Theoretical Study of Electronic and Electrical Properties of Silicon Nanowires CITY UNIVERSITY OF HONG KONG Ë Theoretical Study of Electronic and Electrical Properties of Silicon Nanowires u Ä öä ªqk u{ Submitted to Department of Physics and Materials Science gkö y in Partial Fulfillment

More information

Microfluidics 1 Basics, Laminar flow, shear and flow profiles

Microfluidics 1 Basics, Laminar flow, shear and flow profiles MT-0.6081 Microfluidics and BioMEMS Microfluidics 1 Basics, Laminar flow, shear and flow profiles 11.1.2017 Ville Jokinen Outline of the next 3 weeks: Today: Microfluidics 1: Laminar flow, flow profiles,

More information

An Overview of the Concept, Measurement, Use and Application of Zeta Potential. David Fairhurst, Ph.D. Colloid Consultants, Ltd

An Overview of the Concept, Measurement, Use and Application of Zeta Potential. David Fairhurst, Ph.D. Colloid Consultants, Ltd An Overview of the Concept, Measurement, Use and Application of Zeta Potential David Fairhurst, Ph.D. Colloid Consultants, Ltd Fundamental Parameters that control the Nature and Behavior of all Particulate

More information

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing Joke De Messemaeker, Stijn Put, Daniël Nelis, Dirk Van Genechten, Paul Lippens, Yves Van Rompaey and Yvan Strauven Umicore

More information

1

1 Process methodologies for temporary thin wafer handling solutions By Justin Furse, Technology Strategist, Brewer Science, Inc. Use of temporary bonding/debonding as part of thin wafer handling processes

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

CH5716 Processing of Materials

CH5716 Processing of Materials CH5716 Processing of Materials Ceramic Thick Film Processing Lecture MC5 Slurry Characterisation Specific Surface Area Powder size & specific surface area (area per unit wt) closely related As particle

More information

New Way Porous Gas Bearings as Seals. Bearings Seals

New Way Porous Gas Bearings as Seals. Bearings Seals New Way Porous Gas Bearings as Seals Bearings Seals 1 New Way Overview Founded January 1994. Aston, Pa. 15 miles south of Philadelphia 54 employees 35,000 sq ft facility, Environmentally Controlled Precision

More information

Optical & Spectroscopic Insight into Rheology. SR Kim

Optical & Spectroscopic Insight into Rheology. SR Kim Optical & Spectroscopic Insight into Rheology SR Kim 14.11.2014 Contents Rheology and Microscopy Rheology and Simultaneous FT-IR Analysis 2 3 RHEOLOGY AND MICROSCOPY What does Rheology Do? Put a defined

More information

Evaluating Pigment Dispersion Quality through Dynamic Oscillation Analysis John Meadows, Surface Specialties - UCB

Evaluating Pigment Dispersion Quality through Dynamic Oscillation Analysis John Meadows, Surface Specialties - UCB Evaluating Pigment Dispersion Quality through Dynamic Oscillation Analysis John Meadows, Surface Specialties - UCB Introduction The value of an ink s performance ultimately hinges on the quality of the

More information

CPS Instruments Europe P.O. Box 180, NL-4900 AD Oosterhout, The Netherlands T: +31 (0) F: +31 (0) E:

CPS Instruments Europe P.O. Box 180, NL-4900 AD Oosterhout, The Netherlands T: +31 (0) F: +31 (0) E: Introduction to Differential Sedimentation Differential Centrifugal Sedimentation, or DCS (sometimes also called "two-layer" sedimentation) is a widely used analysis method that produces extremely high

More information

Viscoelasticity. Basic Notions & Examples. Formalism for Linear Viscoelasticity. Simple Models & Mechanical Analogies. Non-linear behavior

Viscoelasticity. Basic Notions & Examples. Formalism for Linear Viscoelasticity. Simple Models & Mechanical Analogies. Non-linear behavior Viscoelasticity Basic Notions & Examples Formalism for Linear Viscoelasticity Simple Models & Mechanical Analogies Non-linear behavior Viscoelastic Behavior Generic Viscoelasticity: exhibition of both

More information

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN

A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN Mat. Res. Soc. Symp. Proc. Vol. 671 001 Materials Research Society A CONTACT-MECHANICS BASED MODEL FOR DISHING AND EROSION IN CHEMICAL-MECHANICAL POLISHING Joost J. Vlassak Division of Engineering and

More information

CH676 Physical Chemistry: Principles and Applications. CH676 Physical Chemistry: Principles and Applications

CH676 Physical Chemistry: Principles and Applications. CH676 Physical Chemistry: Principles and Applications CH676 Physical Chemistry: Principles and Applications History of Nanotechnology: Time Line Democritus in ancient Greece: concept of atom 1900 : Rutherford : discovery of atomic nucleus The first TEM was

More information

ME 309 Fluid Mechanics Fall 2010 Exam 2 1A. 1B.

ME 309 Fluid Mechanics Fall 2010 Exam 2 1A. 1B. Fall 010 Exam 1A. 1B. Fall 010 Exam 1C. Water is flowing through a 180º bend. The inner and outer radii of the bend are 0.75 and 1.5 m, respectively. The velocity profile is approximated as C/r where C

More information

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS Manish Keswani 1, Srini Raghavan 1, Pierre Deymier 1 and Steven Verhaverbeke 2 1 The University

More information

Electro - Principles I

Electro - Principles I Electro - Principles I Capacitance The Capacitor What is it? Page 8-1 The capacitor is a device consisting essentially of two conducting surfaces separated by an insulating material. + Schematic Symbol

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION DEDICATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK

TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION DEDICATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK vii TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION DEDICATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK TABLE OF CONTENTS LIST OF TABLES LIST OF FIGURES LIST OF ABBREVIATIONS LIST OF SYMBOLS ii iii iv v vi vii

More information

TABLE OF CONTENTS CHAPTER TITLE PAGE

TABLE OF CONTENTS CHAPTER TITLE PAGE v TABLE OF CONTENTS CHAPTER TITLE PAGE TABLE OF CONTENTS LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS LIST OF APPENDICES v viii ix xii xiv CHAPTER 1 INTRODUCTION 1.1 Introduction 1 1.2 Literature Review

More information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

1 INTRODUCTION 2 SAMPLE PREPARATIONS Chikage NORITAKE This study seeks to analyze the reliability of three-dimensional (3D) chip stacked packages under cyclic thermal loading. The critical areas of 3D chip stacked packages are defined using

More information

PROPERTIES OF POLYMERS

PROPERTIES OF POLYMERS PROPERTIES OF POLYMERS THEIR CORRELATION WITH CHEMICAL STRUCTURE; THEIR NUMERICAL ESTIMATION AND PREDICTION FROM ADDITIVE GROUP CONTRIBUTIONS Third, completely revised edition By D.W. VÄN KREVELEN Professor-Emeritus,

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

How to measure the shear viscosity properly?

How to measure the shear viscosity properly? testxpo Fachmesse für Prüftechnik 10.-13.10.2016 How to measure the shear viscosity properly? M p v Rotation Capillary Torsten Remmler, Malvern Instruments Outline How is the Shear Viscosity defined? Principle

More information

Pharmaceutics I. Unit 6 Rheology of suspensions

Pharmaceutics I. Unit 6 Rheology of suspensions Pharmaceutics I اينالديصيدلينيات 1 Unit 6 Rheology of suspensions 1 Rheology, the science of the flow or deformation of matter (liquid or soft solid) under the effect of an applied force. It addresses

More information

HEAT TRANSFER THERMAL MANAGEMENT OF ELECTRONICS YOUNES SHABANY. C\ CRC Press W / Taylor Si Francis Group Boca Raton London New York

HEAT TRANSFER THERMAL MANAGEMENT OF ELECTRONICS YOUNES SHABANY. C\ CRC Press W / Taylor Si Francis Group Boca Raton London New York HEAT TRANSFER THERMAL MANAGEMENT OF ELECTRONICS YOUNES SHABANY C\ CRC Press W / Taylor Si Francis Group Boca Raton London New York CRC Press is an imprint of the Taylor & Francis Group, an informa business

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

Contents. Microfluidics - Jens Ducrée Physics: Laminar and Turbulent Flow 1

Contents. Microfluidics - Jens Ducrée Physics: Laminar and Turbulent Flow 1 Contents 1. Introduction 2. Fluids 3. Physics of Microfluidic Systems 4. Microfabrication Technologies 5. Flow Control 6. Micropumps 7. Sensors 8. Ink-Jet Technology 9. Liquid Handling 10.Microarrays 11.Microreactors

More information

Modeling of Anisotropic Polymers during Extrusion

Modeling of Anisotropic Polymers during Extrusion Modeling of Anisotropic Polymers during Extrusion Modified on Friday, 01 May 2015 10:38 PM by mpieler Categorized as: Paper of the Month Modeling of Anisotropic Polymers during Extrusion Arash Ahmadzadegan,

More information

Supplementary table I. Table of contact angles of the different solutions on the surfaces used here. Supplementary Notes

Supplementary table I. Table of contact angles of the different solutions on the surfaces used here. Supplementary Notes 1 Supplementary Figure 1. Sketch of the experimental setup (not to scale) : it consists of a thin mylar sheet (0, 02 4 3cm 3 ) held fixed vertically. The spacing y 0 between the glass plate and the upper

More information

Foundations of. Colloid Science SECOND EDITION. Robert J. Hunter. School of Chemistry University of Sydney OXPORD UNIVERSITY PRESS

Foundations of. Colloid Science SECOND EDITION. Robert J. Hunter. School of Chemistry University of Sydney OXPORD UNIVERSITY PRESS Foundations of Colloid Science SECOND EDITION Robert J. Hunter School of Chemistry University of Sydney OXPORD UNIVERSITY PRESS CONTENTS 1 NATURE OF COLLOIDAL DISPERSIONS 1.1 Introduction 1 1.2 Technological

More information

MECHANICAL CHARACTERISTICS OF STARCH BASED ELECTRORHEOLOGICAL FLUIDS

MECHANICAL CHARACTERISTICS OF STARCH BASED ELECTRORHEOLOGICAL FLUIDS 8 th International Machine Design and Production Conference 427 September 9-11, 1998 Ankara TURKEY ABSTRACT MECHANICAL CHARACTERISTICS OF STARCH BASED ELECTRORHEOLOGICAL FLUIDS E. R. TOPCU * and S. KAPUCU

More information

2 Navier-Stokes Equations

2 Navier-Stokes Equations 1 Integral analysis 1. Water enters a pipe bend horizontally with a uniform velocity, u 1 = 5 m/s. The pipe is bended at 90 so that the water leaves it vertically downwards. The input diameter d 1 = 0.1

More information

Particles, drops, and bubbles. Lecture 3

Particles, drops, and bubbles. Lecture 3 Particles, drops, and bubbles Lecture 3 Brownian Motion is diffusion The Einstein relation between particle size and its diffusion coefficient is: D = kt 6πηa However gravitational sedimentation tends

More information

MATHEMATICAL MODELING OF DISBONDED COATING AND CATHODIC DELAMINATION SYSTEMS KERRY N. ALLAHAR

MATHEMATICAL MODELING OF DISBONDED COATING AND CATHODIC DELAMINATION SYSTEMS KERRY N. ALLAHAR MATHEMATICAL MODELING OF DISBONDED COATING AND CATHODIC DELAMINATION SYSTEMS By KERRY N. ALLAHAR A DISSERTATION PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF THE

More information

custom reticle solutions

custom reticle solutions custom reticle solutions 01 special micro structures Pyser Optics has over 60 years experience in producing high quality micro structure products. These products are supplied worldwide to industries including

More information

VIRTUAL INSTRUMENTATION SOFTWARE FOR THE RHEOLOGICAL PROPERTIES OF THE NON-NEWTONIAN FLUIDS

VIRTUAL INSTRUMENTATION SOFTWARE FOR THE RHEOLOGICAL PROPERTIES OF THE NON-NEWTONIAN FLUIDS VIRTUAL INSTRUMENTATION SOFTWARE FOR THE RHEOLOGICAL PROPERTIES OF THE NON-NEWTONIAN FLUIDS Eng. Irina Radulescu, S.C. I.C.T.C.M. S.A. Bucharest, ROMANIA Dr. eng. Alexandru V. Radulescu, University POLITEHNICA

More information

Supplementary material to On the rheology of pendular gels and morphological developments in paste- like ternary systems based on capillary attraction

Supplementary material to On the rheology of pendular gels and morphological developments in paste- like ternary systems based on capillary attraction Electronic Supplementary Material (ESI) for Soft Matter. This journal is The Royal Society of Chemistry 214 Supplementary material to On the rheology of pendular gels and morphological developments in

More information

4.1 Derivation and Boundary Conditions for Non-Nipped Interfaces

4.1 Derivation and Boundary Conditions for Non-Nipped Interfaces Chapter 4 Roller-Web Interface Finite Difference Model The end goal of this project is to allow the correct specification of a roller-heater system given a general set of customer requirements. Often the

More information

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities Kavli Workshop for Journalists June 13th, 2007 CNF Cleanroom Activities Seeing nm-sized Objects with an SEM Lab experience: Scanning Electron Microscopy Equipment: Zeiss Supra 55VP Scanning electron microscopes

More information

Exercise: concepts from chapter 10

Exercise: concepts from chapter 10 Reading:, Ch 10 1) The flow of magma with a viscosity as great as 10 10 Pa s, let alone that of rock with a viscosity of 10 20 Pa s, is difficult to comprehend because our common eperience is with s like

More information

SOLIDS AND LIQUIDS - Here's a brief review of the atomic picture or gases, liquids, and solids GASES

SOLIDS AND LIQUIDS - Here's a brief review of the atomic picture or gases, liquids, and solids GASES 30 SOLIDS AND LIQUIDS - Here's a brief review of the atomic picture or gases, liquids, and solids GASES * Gas molecules are small compared to the space between them. * Gas molecules move in straight lines

More information

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle SUPPORTING INFORMATION The general fabrication process is illustrated in Figure 1. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle of 0.1. The Si was covered with

More information

PHYSICS OF FLUID SPREADING ON ROUGH SURFACES

PHYSICS OF FLUID SPREADING ON ROUGH SURFACES INTERNATIONAL JOURNAL OF NUMERICAL ANALYSIS AND MODELING Volume 5, Supp, Pages 85 92 c 2008 Institute for Scientific Computing and Information PHYSICS OF FLUID SPREADING ON ROUGH SURFACES K. M. HAY AND

More information

Applied Fluid Mechanics

Applied Fluid Mechanics Applied Fluid Mechanics 1. The Nature of Fluid and the Study of Fluid Mechanics 2. Viscosity of Fluid 3. Pressure Measurement 4. Forces Due to Static Fluid 5. Buoyancy and Stability 6. Flow of Fluid and

More information

Towards hydrodynamic simulations of wet particle systems

Towards hydrodynamic simulations of wet particle systems The 7th World Congress on Particle Technology (WCPT7) Towards hydrodynamic simulations of wet particle systems Sudeshna Roy a*, Stefan Luding a, Thomas Weinhart a a Faculty of Engineering Technology, MESA+,

More information

Aqueous Colloidal Processing and green sheet properties of. Lead Zirconate Titanate (PZT) ceramics made by Tape. Casting.

Aqueous Colloidal Processing and green sheet properties of. Lead Zirconate Titanate (PZT) ceramics made by Tape. Casting. Aqueous Colloidal Processing and green sheet properties of Lead Zirconate Titanate (PZT) ceramics made by Tape Casting. A. Navarro, J.R.Alcock and R.W.Whatmore Nanotechnology Dept, SIMS, Cranfield University,

More information

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 8: Interconnect Manufacturing and Modeling Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review

More information

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA tudy of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer cience, Inc. 241 Brewer Dr., Rolla, MO 6541, UA ABTRACT The topography of a back-end wafer contains high-aspect

More information

Les Houches School of Foam: Rheology of Complex Fluids

Les Houches School of Foam: Rheology of Complex Fluids Les Houches School of Foam: Rheology of Complex Fluids Andrew Belmonte The W. G. Pritchard Laboratories Department of Mathematics, Penn State University 1 Fluid Dynamics (tossing a coin) Les Houches Winter

More information

Surface Mediated Particle-particle Aggregation During CMP Slurry Delivery and Handling

Surface Mediated Particle-particle Aggregation During CMP Slurry Delivery and Handling Surface Mediated Particle-particle Aggregation During CMP Slurry Delivery and Handling Yongqing Lan, Craig Burkhard and Yuzhuo Li 1 Center for Advanced Materials Processing, Clarkson University, Potsdam,

More information

A phenomenological model for shear-thickening in wormlike micelle solutions

A phenomenological model for shear-thickening in wormlike micelle solutions EUROPHYSICS LETTERS 5 December 999 Europhys. Lett., 8 (6), pp. 76-7 (999) A phenomenological model for shear-thickening in wormlike micelle solutions J. L. Goveas ( ) and D. J. Pine Department of Chemical

More information

Relaxation Effects in the Modeling of Gradient Stresses

Relaxation Effects in the Modeling of Gradient Stresses Relaxation Effects in the Modeling of Gradient Stresses Daniel D. Joseph 1 The topics being discussed here are the physics and modeling of stresses due to gradients of composition volume fraction of solute

More information

Modelling of dispersed, multicomponent, multiphase flows in resource industries Section 4: Non-Newtonian fluids and rheometry (PART 1)

Modelling of dispersed, multicomponent, multiphase flows in resource industries Section 4: Non-Newtonian fluids and rheometry (PART 1) Modelling of dispersed, multicomponent, multiphase flows in resource industries Section 4: Non-Newtonian fluids and rheometry (PART 1) Globex Julmester 2017 Lecture #3 05 July 2017 Agenda Lecture #3 Section

More information

Treatment of Colloids in the Safety Case

Treatment of Colloids in the Safety Case Treatment of Colloids in the Safety Case Clay Colloids in Aqueous Systems 3 4 February 2016, Berlin Dr Amy Shelton, Radioactive Waste Management (RWM) KBS-3 Concept Based on the multi- barrier principles

More information

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS Gautam Kumar, Shanna Smith, Florence Eschbach, Arun Ramamoorthy, Michael

More information