Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Size: px
Start display at page:

Download "Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures"

Transcription

1 Supporting Online Material Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Mark P. Stoykovich, 1 Marcus Müller, 2 Sang Ouk Kim, 1* Harun H. Solak, 3 Erik W. Edwards, 1 Juan J. de Pablo, 1 Paul F. Nealey 1 1 Department of Chemical and Biological Engineering and Center for Nanotechnology, University of Wisconsin, Madison 53706, USA. 2 Department of Physics, University of Wisconsin, Madison 53706, USA. 3 Laboratory for Micro- and Nanotechnology, Paul Scherrer Institute, CH-5232 Villigen PSI, Switzerland. Materials and Methods Polymer Brush Preparation The nitroxide-mediated living free-radical polymerizations used to synthesize hydroxyterminated polystyrene (PS, 5.4 kg mol -1, PDI 1.29) have been previously detailed (S1,S2). An ~40 nm film of hydroxy-terminated polystyrene was spin coated onto a piranha treated Si substrate from a 1.5 wt. % solution. These substrates were then annealed under vacuum at ~160 C for 48 h in order to graft, via a dehydration reaction, the PS polymer to the Si substrate. Ungrafted polymer was then extracted using repeated sonications in warm toluene for greater than 10 minutes total. The resultant PS brush had a thickness of 4.7 ± 0.5 nm and advancing and receding water contact angles of 93 and 81, respectively (S1). A random styrene and methyl methacrylate copolymer (PS-r- PMMA, 4.2 kg mol -1, PDI 1.64) with 58 vol. % styrene was used as a neutral brush to achieve lamellar domains perpendicular to a homogeneous, unpatterned substrate (see Fig. 2A) (S1,S2). The neutral brush had a thickness of 4.3 ± 0.5 nm and advancing and receding water contact angles of 85 and 70, respectively. 1

2 Fabrication of Chemically Nanopatterned Surfaces A 50 nm thin film of poly(methyl methacrylate) photoresist (PMMA, 950 kg mol -1 ) was spin coated on the PS brush coated substrate and baked at 160 C for 60 s. Electron beam lithography was performed using a LEO 1550-VP field emission scanning electron microscope (SEM) operating with a J. C. Nabity pattern generation system. Exposures utilized an accelerating voltage of 20 kev, a beam current of ~6 pa, and line doses centered at ~0.8 nc/cm. The 50 and 55 nm period patterns of Fig. 2A were fabricated by extreme ultraviolet (EUV) interference lithography (λ = 13.4 nm, Center for NanoTechnology, University of Wisconsin) as detailed elsewhere (S3,S4). All samples were developed for 30 s in a 1 to 3 solution of methyl isobutyl ketone to isopropyl alcohol (IPA), followed by IPA rinsing and drying steps. The photoresist pattern was subsequently transferred to a chemical pattern in the PS brush by oxygen plasma etching at 10 mtorr O 2 and a power of 80 mw for 10 s. The exact chemistry and structure of the PS brush after exposure to the O 2 plasma is unknown. Near edge x-ray absorption fine structure (NEXAFS) spectra indicate that the remaining organic material consists of a high ratio of oxygen to carbon (S5), and the advancing contact angle of water on these regions is less than 10º (S1). Since the loss of carbon in the O 2 plasma modified regions is significant (> 70%) compared to the original PS brush, the adjacent stripes of the chemically modified PS brush also likely differ in thickness by as much as 3 nm. Recently Sivaniah et al. (S6) reported that topographic features of this amplitude do not induce changes in the orientation of block copolymer films, and in agreement with our previous studies (S1), the substrate effects on the domain structure of the overlying block copolymer film can be interpreted as purely chemical in nature. 2

3 Ternary Blend Preparation and Annealing Ternary blends were prepared from a symmetric diblock copolymer and the corresponding homopolymers. Individual 1.5 wt. % solutions of symmetric poly(styrene)-block-poly(methyl methacrylate) (PS-b-PMMA, 50 kg mol -1 PS and 54 kg mol -1 PMMA, PDI 1.04), PS (40.2 kg mol -1, PDI 1.03), and PMMA (41.5 kg mol -1, PDI 1.06) in toluene were mixed in a ratio of 60 wt. %, 20 wt. %, and 20 wt. %, respectively. Each polymer was acquired from Polymer Source, Inc. and used as received. The resulting ternary blend was spin coated on the nanopatterned polymer brush to a thickness of 43 ± 2 nm as measured by ellipsometry. Annealing was performed under vacuum at 193 ± 1 C for 7 days, which provided sufficient time for the ternary blend to approach an equilibrium morphology on the surface pattern. Optimization of the annealing conditions was not attempted for these ternary blends on chemically patterned surfaces. Prior to heating the vacuum chambers were evacuated 5 times to <2 Torr and backfilled with Ar to remove oxygen from the system. The domain structures of the resulting ternary block copolymer homopolymer blends were imaged using a LEO 1550-VP field emission SEM. Single Chain in Mean Field (SCMF) Simulations In self-consistent field (SCF) calculations the many body-interactions between different chain segments are replaced by an external field that mimics the effect of the surrounding segments. Single chain in mean field simulations are a particle-based SCF method (S7) that investigate a large ensemble of independent chains in an external field that depends, in turn, on the density distribution generated by the ensemble of chains. The relation between field and density is provided by SCF theory (S8,S9) or dynamic density 3

4 functional theory (S10). In the limit of infinite invariant polymerization index, N = (ρ poly R 3 ) 2 where ρ poly denotes polymer number density and R the diblock copolymer's endto-end vector, SCMF simulations reproduce the predictions of SCF theory (S7) which is extraordinarily successful in quantitatively describing the self-assembly of diblock copolymers in the bulk (S11) and under confinement (S10,S12). For finite N, SCMF simulations additionally include some fluctuations. The computational scheme allows for the simulation of large, three-dimensional structures without prior assumptions about their symmetry. Model Parameters and Technique We utilized a discretized Edwards-Hamiltonian with N = 32 segments per diblock copolymer (15 PS segments and 17 PMMA segments). To match the experiment we used homopolymers of length N = 12 and 13 for the PS and PMMA homopolymers, respectively. A short-range repulsion of strength χn = 37.6 acted between PS and PMMA segments in accord with previous experimental studies (S13,S14). The segment density was fixed to 4096/R 3 to reproduce the experimental value of the invariant polymerization index, N, i.e., each configuration contained several million segments. Fluctuations of the total segment density were controlled by a Helfand-compressibility term with reduced compressibility, κn=50 (S7). In the SCMF simulations, the lamellar period of the ternary blend in the bulk was L B 2.26R. Comparing this result with the spacing of the perpendicular lamellae of the experimental system on homogeneous neutral wetting surfaces, L B = 70 nm, we identify R 31 nm. The observation of perpendicular lamellae also indicates that the air/polymer interface does not exhibit a strong preference for either component of the ternary blend. 4

5 In both the experiments and the simulations we observe lamellar structures orienting perpendicular to the substrate and we do not expect (or experimentally observe) the formation of terraces or holes in the film. Therefore, in the simulations we model the free surface to the air as a hard wall and, thus, do not allow for changes in the film thickness. Moreover, we assume that the surface to the air is neutral and neglect a possible preferential interaction of one of the components with the air. This assumption can be made because the difference in the surface tensions of the two components at 190ºC is small (S6). A recent study by Potemkin (S15) suggests that perpendicular structures may even form in homogeneous, free surface films if the surface slightly prefers one component. In our system, the chemical pattern of the substrate greatly increases the stability of the perpendicular morphology. The substrate pattern symmetrically attracts/repels PS and PMMA segments with a short-range interaction that falls off with a length scale of dz = 0.15R. The film thickness is fixed to 1.43R in accord with the experiments. While one observes a strong dependence of the morphology on the film thickness in the disordered state (S16) and for parallel structures (S15,S17), we do not expect any qualitative changes upon decreasing the film thickness for perpendicular structures that are stabilized by the chemically patterned substrate. Fields and densities were calculated on a grid with a spatial resolution of R/6 or R/70 for the three- and two-dimensional calculations, respectively. Periodic boundary conditions were applied in the two lateral directions, x and y (only half of the simulated system is shown in Figs. 4B and C). The molecular conformations evolved through random, local displacements of segments, the translation of the center of mass of each polymer, and head-to-tail flips of diblock copolymers. All configurations were prepared 5

6 by a quench from a disordered system, χn = 0, to χn = The SCMF simulations were implemented as scalable, parallel MPI code and were performed on the IBM p690- cluster at the John von Neumann-Institute for Computing, Jülich. Supporting Text Shape of the Intermaterial Dividing Surfaces on 90º Bends Figure S1 presents the contour plots of the PS concentration obtained from SCMF simulations for L S = L B = 70 nm on a nested array of lines with 90 bends (similar to Fig. 4B). At the top of the film (left panel) the equilibrium shape of the intermaterial dividing surface between the PS and PMMA domains resembles a symmetric omega shape similar to the metastable defects that form at tilt grain boundaries in bulk block copolymers (S18- S20). The shape of the intermaterial dividing surface at the substrate (right panel), however, is chevron-like in order to match the chemical surface pattern. Structures similar to omega and T-junction defects which have been observed in bulk block copolymers (S19,S20) are suppressed by the surface interactions in thin films (see Fig. 3). 6

7 Supporting Figures Fig. S1. Contour plots of the PS concentration on the free and substrate surfaces of a ternary blend film as obtained from SCMF simulations on surface patterns with L S = L B = 70 nm and 90º bends. The intermaterial dividing surface refers to the sharp interface between the PS rich regions (shown in red) and the PMMA rich regions (shown in blue). In the right panel the intermaterial dividing surface at the substrate (z/film thickness=0) has a chevron-like shape in the corners that exactly follows the chemically patterned surface. In the left panel the intermaterial dividing surface at the free surface (z/film thickness=1) has a symmetric weakly omega-like shape. Supporting References and Notes S1. E. W. Edwards, M. F. Montague, H. H. Solak, C. J. Hawker, P. F. Nealey, Adv. Mater. 16, 1315 (2004). S2. C. J. Hawker et al., Macromolecules 29, 2686 (1996). 7

8 S3. S. O. Kim et al., Nature 424, 411 (2003). S4. H. H. Solak et al., Microelectron. Eng. 67-8, 56 (2003). S5. K. M. Pellerin, F. J. Himpsel, E. W. Edwards, P. F. Nealey, personal communication. S6. E. Sivaniah et al., Macromolecules 38, 1837 (2005). S7. M. Müller, G. D. Smith, J. Polym. Sci. B: Polym. Phys. 43, 934 (2005). S8. G. H. Fredrickson, V. Ganesan, F. Drolet, Macromolecules 35, 16 (2002). S9. R. B. Thompson, V. V. Ginzburg, M. W. Matsen, A. C. Balazs, Science 292, 2469 (2001). S10. A. Knoll et al., Nature Mater. 3, 886 (2004). S11. M. W. Matsen, F. S. Bates, Macromolecules 29, 1091 (1996). S12. M. W. Matsen, J. Chem. Phys. 107, 8110 (1997). S13. T. P. Russell, R. P. Hjelm, P. A. Seeger, Macromolecules 23, 890 (1990). S14. M. Sferrazza et al., Phys. Rev. Lett. 78, 3693 (1997). S15. I. I. Potemkin, Macromolecules 37, 3505 (2004). S16. Y. Tsori, D. Andelman, Macromolecules 34, 2719 (2001). S17. D. G. Walton, G. J. Kellogg, A. M. Mayes, P. Lambooy, T. P. Russell, Macromolecules 27, 6225 (1994). S18. D. Duque, K. Katsov, M. Schick, J. Chem. Phys. 117, (2002). S19. S. P. Gido, E. L. Thomas, Macromolecules 27, 6137 (1994). S20. E. Burgaz, S. P. Gido, Macromolecules 33, 8739 (2000). 8

Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer

Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer Supporting Information for: Rapid Ordering in Wet Brush Block Copolymer/Homopolymer Ternary Blends Gregory S. Doerk* and Kevin G. Yager Center for Functional Nanomaterials, Brookhaven National Laboratory,

More information

Thickness-induced morphology changes in lamellar diblock copolymer ultrathin films

Thickness-induced morphology changes in lamellar diblock copolymer ultrathin films EUROPHYSICS LETTERS 15 December 1997 Europhys. Lett., 40 (6), pp. 643-648 (1997) Thickness-induced morphology changes in lamellar diblock copolymer ultrathin films T. L. Morkved and H. M. Jaeger The James

More information

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations 8290 Macromolecules 2010, 43, 8290 8295 DOI: 10.1021/ma101360f Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations Rafal A. Mickiewicz,, Joel K.

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Chapter 2. Block copolymers. a b c

Chapter 2. Block copolymers. a b c Chapter 2 Block copolymers In this thesis, the lamellar orientation in thin films of a symmetric diblock copolymer polystyrene-polymethylmethacylate P(S-b-MMA) under competing effects of surface interactions

More information

Ordered Morphologies of Confined Diblock Copolymers

Ordered Morphologies of Confined Diblock Copolymers Mat. Res. Soc. Symp. Proc. Vol. 61 21 Materials Research Society Ordered Morphologies of Confined Diblock Copolymers Yoav Tsori and David Andelman School of Physics and Astronomy Raymond and Beverly Sackler

More information

Imaging Polymer Morphology Using Atomic Force Microscopy

Imaging Polymer Morphology Using Atomic Force Microscopy Imaging Polymer Morphology Using Atomic Force Microscopy Russell J. Composto Materials Science and Engineering, and the Laboratory for Research on the Structure of Matter, University of Pennsylvania Agilent

More information

Self-Assembly on the Sphere: A Route to Functional Colloids

Self-Assembly on the Sphere: A Route to Functional Colloids Self-Assembly on the Sphere: A Route to Functional Colloids Tanya L. Chantawansri Glenn H. Fredrickson, Hector D. Ceniceros, and Carlos J. García-Cervera January 23, 2007 CFDC Annual Meeting 2007 Contents

More information

Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement)

Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement) Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement) Su-Mi Hur Glenn H. Fredrickson Complex Fluids Design Consortium Annual Meeting

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Applicable Simulation Methods for Directed Self-Assembly -Advantages and Disadvantages of These Methods

Applicable Simulation Methods for Directed Self-Assembly -Advantages and Disadvantages of These Methods Review Applicable Simulation Methods for Directed Self-Assembly -Advantages and Disadvantages of These Methods Hiroshi Morita Journal of Photopolymer Science and Technology Volume 26, Number 6 (2013) 801

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification

Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification Self-Assembly Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification Bong Hoon Kim, Kyeong-Jae Byeon, Ju Young Kim, Jinseung Kim, Hyeong Min Jin, Joong-Yeon Cho, Seong-Jun Jeong,

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

The SMART Process for Directed Block Co-Polymer Self-Assembly

The SMART Process for Directed Block Co-Polymer Self-Assembly Journal of Photopolymer Science and Technology Volume 26, Number 5 (2013) 573 579 2013SPST The SMART Process for Directed Block Co-Polymer Self-Assembly Jihoon Kim*, Jingxiu Wan, Shinji Miyazaki, Jian

More information

Behavior of single nanoparticleõhomopolymer chain in ordered structures of diblock copolymers

Behavior of single nanoparticleõhomopolymer chain in ordered structures of diblock copolymers JOURNAL OF CHEMICAL PHYSICS VOLUME 118, NUMBER 4 JUNE 003 Behavior of single nanoparticleõhomopolymer chain in ordered structures of diblock copolymers Qiang Wang, a) Paul F. Nealey, and Juan J. de Pablo

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/1/10/e1500751/dc1 Supplementary Materials for Uniform metal nanostructures with long-range order via three-step hierarchical self-assembly The PDF file includes:

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Supplementary Figure 1. SEM images of perovskite single-crystal patterned thin film with

More information

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Professor Christopher J. Ellison McKetta Department of Chemical Engineering and Texas Materials Institute The University

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Instabilities in Thin Polymer Films: From Pattern Formation to Rupture

Instabilities in Thin Polymer Films: From Pattern Formation to Rupture Instabilities in Thin Polymer Films: From Pattern Formation to Rupture John R. Dutcher*, Kari Dalnoki-Veress Η, Bernie G. Nickel and Connie B. Roth Department of Physics, University of Guelph, Guelph,

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,500 108,000 1.7 M Open access books available International authors and editors Downloads Our

More information

arxiv: v1 [cond-mat.mtrl-sci] 14 Nov 2018

arxiv: v1 [cond-mat.mtrl-sci] 14 Nov 2018 Self-assembly of cylinder forming diblock copolymers on modulated substrates: a simulation study arxiv:1811.06084v1 [cond-mat.mtrl-sci] 14 Nov 2018 Karim Gadelrab and Alfredo Alexander-Katz* Department

More information

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Supporting Information Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Step and Flash Imprint Lithography Vaibhav S. Khire, 1 Youngwoo Yi, 2 Noel A. Clark, 2 and Christopher

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

Positioning, Structuring and Controlling with Nanoprecision

Positioning, Structuring and Controlling with Nanoprecision Positioning, Structuring and Controlling with Nanoprecision Regine Hedderich 1,2, Tobias Heiler 2,3, Roland Gröger 2,3, Thomas Schimmel 2,3 and Stefan Walheim 2,3 1 Network NanoMat 2 Institute of Nanotechnology,

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

The Intermaterial Dividing Surface (IMDS)

The Intermaterial Dividing Surface (IMDS) The Intermaterial Dividing Surface (IMDS) Can think of the microdomain structure as comprised of a set of surfaces that define the changeover in composition from Block A to Block B The IMDS in an AB diblock

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments Supplementary Information Effects of asymmetric nanostructures on the extinction difference properties of actin biomolecules and filaments 1 E. H. Khoo, 2 Eunice S. P. Leong, 1 W. K. Phua, 2 S. J. Wu,

More information

Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Introduction Experimental

Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Introduction Experimental Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Jintao Yang, Bin Zhu, Weibin Zha and L. James Lee Department of Chemical and Biomolecular Engineering, The Ohio State University,

More information

Positioning, Structuring and Controlling with Nanoprecision

Positioning, Structuring and Controlling with Nanoprecision Positioning, Structuring and Controlling with Nanoprecision Regine Hedderich 1,2, Tobias Heiler 2,3, Roland Gröger 2,3, Thomas Schimmel 2,3, and Stefan Walheim 2,3 1 Network NanoMat 2 Institute of Nanotechnology,

More information

Fluctuations in polymer blends

Fluctuations in polymer blends Fluctuations in polymer blends Dominik Düchs and Friederike Schmid Fakultät für Physik, Universität Bielefeld, Postfach 100131, 33501 Bielefeld E-mail: {schmid, duechs}@physik.uni-bielefeld.de We have

More information

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano,

More information

Supplementary Information

Supplementary Information ature anotechnology reference number: AO-06110617A Growth and alignment of polyaniline nanofibres with superhydrophobic, superhydrophilic and other properties an-rong Chiou 1,2,3, Chunmeng Lu 1, Jingjiao

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Supracolloidal Polymer Chains of Diblock Copolymer Micelles

Supracolloidal Polymer Chains of Diblock Copolymer Micelles Electronic Supplementary Material (ESI) for Chemical Communications. This journal is The Royal Society of Chemistry 2014 Supporting Information Supracolloidal Polymer Chains of Diblock Copolymer Micelles

More information

Perpendicular Block Copolymer Microdomains in High Aspect Ratio Templates

Perpendicular Block Copolymer Microdomains in High Aspect Ratio Templates Perpendicular Block Copolymer Microdomains in High Aspect Ratio Templates The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

φ(z) Application of SCF to Surfaces and Interfaces (abridged from notes by D.J. Irvine)

φ(z) Application of SCF to Surfaces and Interfaces (abridged from notes by D.J. Irvine) Application of SCF to Surfaces and Interfaces (abridged from notes by D.J. Irvine) Edwards continuum field theory reviewed above is just one flavor of selfconsistent mean field theory, but all mean field

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer

More information

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Supporting Information An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Hyo-Jin Ahn a, Pradheep Thiyagarajan a, Lin Jia b, Sun-I

More information

Computer simulation study of pattern transfer in AB diblock copolymer film adsorbed on a heterogeneous surface

Computer simulation study of pattern transfer in AB diblock copolymer film adsorbed on a heterogeneous surface THE JOURNAL OF CHEMICAL PHYSICS 123, 124702 2005 Computer simulation study of pattern transfer in AB diblock copolymer film adsorbed on a heterogeneous surface A. Jayaraman, C. K. Hall, a and J. Genzer

More information

Lateral Phase Separation in Grafted Diblock Copolymer Films

Lateral Phase Separation in Grafted Diblock Copolymer Films Macromolecules 2010, 43, 8177 8184 8177 DOI: 10.1021/ma101093f Lateral Phase Separation in Grafted Diblock Copolymer Films Benjamin M. D. O Driscoll,*, Guy H. Griffiths, Mark W. Matsen, Sebastien Perrier,

More information

PHYSICAL REVIEW E 69,

PHYSICAL REVIEW E 69, Morphology and phase diagram of complex block copolymers: ABC linear triblock copolymers Ping Tang, Feng Qiu,* Hongdong Zhang, and Yuliang Yang Department of Macromolecular Science, The Key Laboratory

More information

Kinetics of layer hopping in a diblock copolymer lamellar phase

Kinetics of layer hopping in a diblock copolymer lamellar phase Eur. Phys. J. E 27, 407 411 (2008) DOI 10.1140/epje/i2008-10402-8 THE EUROPEAN PHYSICAL JOURNAL E Kinetics of layer hopping in a diblock copolymer lamellar phase A.B. Croll 1,M.W.Matsen 2, A.-C. Shi 1,

More information

This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at:

This article is copyrighted as indicated in the article. Reuse of AIP content is subject to the terms at: THE JOURNAL OF CHEMICAL PHYSICS 143, 134902 (2015) Effect of mobile ions on the electric field needed to orient charged diblock copolymer thin films Ashkan Dehghan, 1 M. Schick, 2 and An-Chang Shi 1 1

More information

2 Current status of the project

2 Current status of the project 1 Background The current research project started in September 2015 and its objective was to prepare and characterizise supramolecular block copolymer thin lms. Special interest was to study whether it

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Guided self-assembly of diblock copolymer thin films on chemically patterned substrates

Guided self-assembly of diblock copolymer thin films on chemically patterned substrates University of Nebraska - Lincoln DigitalCommons@University of Nebraska - Lincoln Faculty Publications from Nebraska Center for Materials and Nanoscience Materials and Nanoscience, Nebraska Center for (NCMN)

More information

Modeling Microscopic Morphology and Mechanical Properties of Block Copolymer/Nanoparticle Composites

Modeling Microscopic Morphology and Mechanical Properties of Block Copolymer/Nanoparticle Composites Macromolecules 2009, 42, 7537 7544 7537 DOI: 10.1021/ma9006686 Modeling Microscopic Morphology and Mechanical Properties of Block Copolymer/Nanoparticle Composites Jiezhu Jin and Jianzhong Wu* Department

More information

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68 Lecture 6 Plasmas Chapters 10 &16 Wolf and Tauber 1/68 Announcements Homework: Homework will be returned to you on Thursday (12 th October). Solutions will be also posted online on Thursday (12 th October)

More information

Improvement of Carbon Nanotubes Dispersivity in Poly(Styrene/Methacrylate) Composites by Chemical Functionalization

Improvement of Carbon Nanotubes Dispersivity in Poly(Styrene/Methacrylate) Composites by Chemical Functionalization OPEN ACCESS http://sciforum.net/conference/ecm-1 Proceedings Paper Improvement of Carbon Nanotubes Dispersivity in Poly(Styrene/Methacrylate) Composites by Chemical Functionalization Fabio Faraguna, Elvira

More information

Atomic Force Microscopy imaging and beyond

Atomic Force Microscopy imaging and beyond Atomic Force Microscopy imaging and beyond Arif Mumtaz Magnetism and Magnetic Materials Group Department of Physics, QAU Coworkers: Prof. Dr. S.K.Hasanain M. Tariq Khan Alam Imaging and beyond Scanning

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

A theoretical study for nanoparticle partitioning in the lamellae of diblock copolymers

A theoretical study for nanoparticle partitioning in the lamellae of diblock copolymers THE JOURNAL OF CHEMICAL PHYSICS 128, 074901 2008 A theoretical study for nanoparticle partitioning in the lamellae of diblock copolymers Jiezhu Jin and Jianzhong Wu a Department of Chemical and Environmental

More information

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled Supporting information Uniform Graphene Quantum Dots Patterned from Selfassembled Silica Nanodots Jinsup Lee,,, Kyungho Kim,, Woon Ik Park, Bo-Hyun Kim,, Jong Hyun Park, Tae-Heon Kim, Sungyool Bong, Chul-Hong

More information

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS O. Goossens, D. Vangeneugden, S. Paulussen and E. Dekempeneer VITO Flemish Institute for Technological Research, Boeretang

More information

Block Copolymer Assembly on Nanoscale Patterns of Polymer Brushes Formed by Electrohydrodynamic Jet Printing

Block Copolymer Assembly on Nanoscale Patterns of Polymer Brushes Formed by Electrohydrodynamic Jet Printing Block Copolymer Assembly on Nanoscale Patterns of Polymer Brushes Formed by Electrohydrodynamic Jet Printing ) M. Serdar Onses,,,# Abelardo Ramı rez-herna ndez,,,# Su-Mi Hur,,,# Erick Sutanto,^ Lance Williamson,,

More information

Hierarchy in Block Copolymer Morphology (Web report) MANGESH CHAMPHEKAR (Materials Science and Engg.)

Hierarchy in Block Copolymer Morphology (Web report) MANGESH CHAMPHEKAR (Materials Science and Engg.) Hierarchy in Block Copolymer Morphology (Web report) By MANGESH CHAMPHEKAR (Materials Science and Engg.) ABSTRACT In the recent years, the study of block copolymers has received special attention from

More information

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices

Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices Photon Energy Dependence of Contrast in Photoelectron Emission Microscopy of Si Devices V. W. Ballarotto, K. Siegrist, R. J. Phaneuf, and E. D. Williams University of Maryland and Laboratory for Physical

More information

Self Organization. Order. Homogeneous state. Structurally ordered state. Structurally ordered state. Order. Disorder

Self Organization. Order. Homogeneous state. Structurally ordered state. Structurally ordered state. Order. Disorder Muthukumar, M., Ober, C.K. and Thomas, E.L., "Competing Interactions and Levels of Ordering in Self-Organizing Materials," Science, 277, 1225-1237 (1997). Self Organization Homogeneous state Order Disorder

More information

Enhanced Transmission by Periodic Hole. Arrays in Metal Films

Enhanced Transmission by Periodic Hole. Arrays in Metal Films Enhanced Transmission by Periodic Hole Arrays in Metal Films K. Milliman University of Florida July 30, 2008 Abstract Three different square periodic hole arrays were manufactured on a silver film in order

More information

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array

Presentation Phys Katia GASPERI. Statistical study of single DNA molecules into dynamic array Presentation Phys 730 - Katia GASPERI Statistical study of single DNA molecules into dynamic array 1 Statistical study of single DNA molecules into dynamic array - Research project lead by Laurence SALOME

More information

Supratelechelics: thermoreversible bonding in difunctional polymer blends

Supratelechelics: thermoreversible bonding in difunctional polymer blends Supratelechelics: thermoreversible bonding in difunctional polymer blends Richard Elliott Won Bo Lee Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting MRL, UCSB 02/02/09 Supramolecular

More information

Self-Assembled Morphologies of a Diblock Copolymer Melt Confined in a Cylindrical Nanopore

Self-Assembled Morphologies of a Diblock Copolymer Melt Confined in a Cylindrical Nanopore 8492 Macromolecules 2006, 39, 8492-8498 Self-Assembled Morphologies of a Diblock Copolymer Melt Confined in a Cylindrical Nanopore Weihua Li and Robert A. Wickham* Department of Physics, St. Francis XaVier

More information

Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification

Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification Supporting Information to Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification by Tina I. Löbling, Olli Ikkala, André H. Gröschel *, Axel H. E. Müller * Materials

More information

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots S. F. Hu a) National Nano Device Laboratories, Hsinchu 300, Taiwan R. L. Yeh and R. S. Liu Department of Chemistry, National

More information

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition Supporting Information for Wafer Scale Homogeneous Bilayer Graphene Films by Chemical Vapor Deposition Seunghyun Lee, Kyunghoon Lee, Zhaohui Zhong Department of Electrical Engineering and Computer Science,

More information

Defects in lamellar diblock copolymers: Chevron- and -shaped tilt boundaries

Defects in lamellar diblock copolymers: Chevron- and -shaped tilt boundaries PHYSICAL REVIEW E VOLUME 61, NUMBER 3 MARCH 2000 Defects in lamellar diblock copolymers: Chevron- and -shaped tilt boundaries Yoav Tsori and David Andelman School of Physics and Astronomy, Raymond and

More information

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX,

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX, SUPPORTING INFORMATION FOR: Designing intrablock attractions to increase the χ parameter of a symmetric diblock copolymer Sunshine X. Zhou, Dustin W. Janes, Chae Bin Kim, C. Grant Willson, Christopher

More information

Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns

Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns By Joona Bang, Unyong Jeong, Du Yeol Ryu, Thomas P. Russell,* and Craig J. Hawker* The self-asembly of block

More information

Supplementary materials for: Large scale arrays of single layer graphene resonators

Supplementary materials for: Large scale arrays of single layer graphene resonators Supplementary materials for: Large scale arrays of single layer graphene resonators Arend M. van der Zande* 1, Robert A. Barton 2, Jonathan S. Alden 2, Carlos S. Ruiz-Vargas 2, William S. Whitney 1, Phi

More information

Process window analysis for contact hole shrinking: A simulation study

Process window analysis for contact hole shrinking: A simulation study Process window analysis for contact hole shrinking: A simulation study Andreas Erdmann 1, Przemysław Michalak 1, Mohamed Ismail 1,2, Tim Fühner 1, Maxime Argoud 3, Raluca Tiron 3, Ahmed Gharbi 3, Juan

More information

Nanopattern Formation of a Block Copolymer by Water as a Non-Solvent

Nanopattern Formation of a Block Copolymer by Water as a Non-Solvent Nanopattern Formation of a Block Copolymer by Water as a Non-Solvent Shigeru Okamoto Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555, Japan. okamoto.shigeru@nitech.ac.jp Keywords:

More information

100 nm period gratings produced by lithographically induced self-construction

100 nm period gratings produced by lithographically induced self-construction INSTITUTE OFPHYSICS PUBLISHING Nanotechnology 14 (2003) 786 790 NANOTECHNOLOGY PII: S0957-4484(03)55891-3 100 nm period gratings produced by lithographically induced self-construction Xinya Lei, Lin Wu,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Effect of airborne contaminants on the wettability of supported graphene and graphite Zhiting Li 1,ǂ, Yongjin Wang 2, ǂ, Andrew Kozbial 2, Ganesh Shenoy 1, Feng Zhou 1, Rebecca McGinley 2, Patrick Ireland

More information

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct Supplementary Information Rapid Stencil Mask Fabrication Enabled One-Step Polymer-Free Graphene Patterning and Direct Transfer for Flexible Graphene Devices Keong Yong 1,, Ali Ashraf 1,, Pilgyu Kang 1,

More information

Kim, Sang Ouk

Kim, Sang Ouk Directed Block Copolymer Assembly Integrated with Conventional ArF or I-line lithography Kim, ang Ouk (sangouk.kim@kaist.ac.kr) oft Nanomaterials Laboratory (http://snml.kaist.ac.kr) Department of Materials

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers The combination of polymers and the high-energy charged particles with sufficiently high LET is the promising candidate for

More information

Converting the nanodomains of a diblock-copolymer thin film from spheres to cylinders with an external electric field

Converting the nanodomains of a diblock-copolymer thin film from spheres to cylinders with an external electric field THE JOURNAL OF CHEMICAL PHYSICS 14, 074906 006 Converting the nanodomains of a diblock-copolymer thin film from spheres to cylinders with an external electric field M. W. Matsen a Department of Physics,

More information

Physical Chemistry of Polymers (4)

Physical Chemistry of Polymers (4) Physical Chemistry of Polymers (4) Dr. Z. Maghsoud CONCENTRATED SOLUTIONS, PHASE SEPARATION BEHAVIOR, AND DIFFUSION A wide range of modern research as well as a variety of engineering applications exist

More information

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Seoung-Ki Lee, Beom Joon Kim, Houk Jang, Sung Cheol Yoon, Changjin Lee, Byung Hee Hong, John A. Rogers, Jeong Ho Cho, Jong-Hyun

More information

Tutorial on Plasma Polymerization Deposition of Functionalized Films

Tutorial on Plasma Polymerization Deposition of Functionalized Films Tutorial on Plasma Polymerization Deposition of Functionalized Films A. Michelmore, D.A. Steele, J.D. Whittle, J.W. Bradley, R.D. Short University of South Australia Based upon review article RSC Advances,

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information

arrays for mid-infrared plasmonics

arrays for mid-infrared plasmonics Scalable and tunable periodic graphene nano-hole arrays for mid-infrared plasmonics Kavitha K. Gopalan*, Bruno Paulillo*, David M.A. Mackenzie +, Daniel Rodrigo*, Nestor Bareza*, Patrick R. Whelan +, Abhay

More information

Parallel and Perpendicular Lamellae on Corrugated Surfaces

Parallel and Perpendicular Lamellae on Corrugated Surfaces 8560 Macromolecules 003, 36, 8560-8566 Parallel and Perpendicular Lamellae on Corrugated Surfaces Yoav Tsori* Laboratoire Matière Molle & Chimie (UMR 167), ESPCI, 10 rue Vauquelin, 7531 Paris Cedex 05,

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Block Copolymers in Electric Fields: A Comparison of Single-Mode and Self-Consistent-Field Approximations

Block Copolymers in Electric Fields: A Comparison of Single-Mode and Self-Consistent-Field Approximations Macromolecules 2006, 9, 289-29 289 Block Copolymers in Electric Fields: A Comparison of Single-Mode and Self-Consistent-Field Approximations Yoav Tsori* Department of Chemical Engineering, Ben Gurion UniVersity,

More information

Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass

Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass Supplementary Figure 1 XRD pattern of a defective TiO 2 thin film deposited on an FTO/glass substrate, along with an XRD pattern of bare FTO/glass and a reference pattern of anatase TiO 2 (JSPDS No.: 21-1272).

More information

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly I. Nanofabrication and Characterization : TOC I. NANOFABRICATION O AND CHARACTERIZATION Chap. 1 : Nanolithography Chap. 2 : Self-Assembly Chap. 3 : Scanning Probe Microscopy Nanoscale fabrication requirements

More information