2 Current status of the project

Size: px
Start display at page:

Download "2 Current status of the project"

Transcription

1

2 1 Background The current research project started in September 2015 and its objective was to prepare and characterizise supramolecular block copolymer thin lms. Special interest was to study whether it would be possible to guide the structure physically along the electron beam lithographically prepared patterns and/or chemically by chemically modied surface properties. 2 Current status of the project So far the project has lasted 14 months (this was written in the beginning of November 2016) and I have prepared 64 dierent thin lm samples in 12 dierent sample series and characterized them with atomic force microscopy. I have also prepared patterns with electron beam lithography to act as substrates for selected samples. By now however, I have not had time to test chemical modication of the substrate for structure alignment. The scientically most promising samples base on block copolymer PMMA- b-p4vp (polymethyl methacrylate-block-poly(4-vinylpyridine)) complexed with phenolic resin. The pure block copolymer, having polymer block molecular masses of 30 kg/mol and 225 kg/mol for P4VP and PMMA respectively, self-assembles into spherical morphology within spin-coated thin lm as seen in the atomic force micrograph in Figure 1. 2

3 Figure 1: Atomic force micrograph recorded in tapping mode from PMMA- b-p4vp, where P4VP domains can be seen as spherical items. The periodicity of the structure can be estimated from the line prole to be around 23 nm. Blending the polymer with phenolic resin 37 wt-% leads to a morphological transition from spherical morphology to cylindrical morphology as can be seen in Figure 2. 3

4 Figure 2: Atomic force micrograph recorded in tapping mode from PMMA- b-p4vp complexed with 37 wt-% of phenolic resin. P4VP-phenolic resin domains can be seen as worm-like items. The periodicity of the structure can be estimated from the line prole to be around 53 nm. 4

5 The results show that phenolic resin is selective to P4VP polymer block. A morphological transition is only possible if the relative volume fractions of the dierent polymer domains are changed. This implies that the phenolic resin is located within the P4VP domain and increases its volume fraction, which then leads to the observed morphological transition. This result shows that the system behaves the same way as previously studied PS- b-p4vp complexed with phenolic resin. These results are already publishable i.e. should and will be published. Blending phenolic resing with PMMA-b-P4VP block copolymer yields to a very interesting material properties. The material has self-assembled structure in the level of tens of nanometers. The phenolic resin can be set with temperature to become highly crosslinked and hard material. For materials engineering point of view there are at least two possibilities for subsequent treatment: PMMA domains can be removed with high temperature treatment. Using subsequent electron beam lithography to write to the material and then remove the scissored PMMA chains by chemical treatment. I have already tested the rst scenario by keeping sample at 385 celsius degrees for one hour and AFM micrograph after temperature treatment can be seen in Figure 3. By comparing the line proles between Figures 2 and 3 one can observe, that the vallies between the objects have become deeper. This is a consequence of PMMA temperature degradation. 5

6 Figure 3: Atomic force micrograph recorded in tapping mode from PMMA- b-p4vp complexed with 37 wt-% of phenolic resin after temperature treatment. P4VP-phenolic resin domains can be seen as worm-like items. The periodicity of the structure can be estimated from the line prole to be around 58 nm. 6

7 The temperature threatment aects the whole sample. It would be very interesting to try to degrade PMMA locally using electron beam lithography techniques and to compare these two methods. 3 Future plans Although there are some results already, there are still much more research to be done. One of the most interesting topics is the chemical substrate modication. This would aect the most the samples with lamellar morphology. Without surface modication, it is very common that one of the polymer blocks has higher anity towards the silicon substrate, which leads to lamellar orientation parallel to substrate as depicted in Figure 4. With chemical modication, it would be possible to alter the anity and change to perpendicular orientation. Professor Salvadori has also invited me to collaborate in a project Core Facility de Conservação de Documentação Cientíca: papel e fotograa, where the purpose of the project is in-situ polymerization within a photography paper using supercritical carbon dioxide as a solvent. This is a very interesting project, for which I would nd my labor input useful due to my previous experience in the eld of polymers. Thus, I am willing to continue this fellowship and I am sure there is a possibility to get more publishable results. Therefore, I apply for ve months continuation for this project. Figure 4: Illustration of the possible eect of chemical substrate modication for lamellar morphology. 7

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/1/10/e1500751/dc1 Supplementary Materials for Uniform metal nanostructures with long-range order via three-step hierarchical self-assembly The PDF file includes:

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB NO. 0704-0188 The public reporting burden for this collection of information is estimated to average 1 hour per response, including the time for reviewing instructions,

More information

Supracolloidal Polymer Chains of Diblock Copolymer Micelles

Supracolloidal Polymer Chains of Diblock Copolymer Micelles Electronic Supplementary Material (ESI) for Chemical Communications. This journal is The Royal Society of Chemistry 2014 Supporting Information Supracolloidal Polymer Chains of Diblock Copolymer Micelles

More information

Supporting Information

Supporting Information Block Copolymer Mimetic Self-Assembly of Inorganic Nanoparticles Yunyong Guo, Saman Harirchian-Saei, Celly M. S. Izumi and Matthew G. Moffitt* Department of Chemistry, University of Victoria, P.O. Box

More information

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Professor Christopher J. Ellison McKetta Department of Chemical Engineering and Texas Materials Institute The University

More information

Imaging Polymer Morphology Using Atomic Force Microscopy

Imaging Polymer Morphology Using Atomic Force Microscopy Imaging Polymer Morphology Using Atomic Force Microscopy Russell J. Composto Materials Science and Engineering, and the Laboratory for Research on the Structure of Matter, University of Pennsylvania Agilent

More information

Contents. Principles: Theory and Practice

Contents. Principles: Theory and Practice Contents Part I Principles: Theory and Practice 1 Physical Principles of Scanning Probe Microscopy Imaging... 3 1.1 Introduction... 3 1.2 The Physical Principles of Atomic Force Microscopy... 4 1.2.1 Forces

More information

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Supporting Online Material Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Mark P. Stoykovich, 1 Marcus Müller, 2 Sang Ouk Kim, 1* Harun H. Solak, 3 Erik W. Edwards,

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Introduction. Sample kit content

Introduction. Sample kit content Introduction Polymer blend sample preparation TN01062 Preparation of polymer samples available from the Lateral force, Phase imaging, and Force modulation mode kits The SBS-PMMA, SBS-PS, and SBR-PMMA samples

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Positioning, Structuring and Controlling with Nanoprecision

Positioning, Structuring and Controlling with Nanoprecision Positioning, Structuring and Controlling with Nanoprecision Regine Hedderich 1,2, Tobias Heiler 2,3, Roland Gröger 2,3, Thomas Schimmel 2,3 and Stefan Walheim 2,3 1 Network NanoMat 2 Institute of Nanotechnology,

More information

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis*

Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis* Woo Jin Hyun, Ethan B. Secor, Mark C. Hersam, C. Daniel Frisbie,* and Lorraine F. Francis* Dr. W. J. Hyun, Prof. C. D. Frisbie, Prof. L. F. Francis Department of Chemical Engineering and Materials Science

More information

Positioning, Structuring and Controlling with Nanoprecision

Positioning, Structuring and Controlling with Nanoprecision Positioning, Structuring and Controlling with Nanoprecision Regine Hedderich 1,2, Tobias Heiler 2,3, Roland Gröger 2,3, Thomas Schimmel 2,3, and Stefan Walheim 2,3 1 Network NanoMat 2 Institute of Nanotechnology,

More information

Hierarchy in Block Copolymer Morphology (Web report) MANGESH CHAMPHEKAR (Materials Science and Engg.)

Hierarchy in Block Copolymer Morphology (Web report) MANGESH CHAMPHEKAR (Materials Science and Engg.) Hierarchy in Block Copolymer Morphology (Web report) By MANGESH CHAMPHEKAR (Materials Science and Engg.) ABSTRACT In the recent years, the study of block copolymers has received special attention from

More information

University of Groningen. Janus particles Yang, Qiuyan

University of Groningen. Janus particles Yang, Qiuyan University of Groningen Janus particles Yang, Qiuyan IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it. Please check the document version

More information

Chapter 14: Polymer Structures

Chapter 14: Polymer Structures Chapter 14: Polymer Structures ISSUES TO ADDRESS... What are the general structural and chemical characteristics of polymer molecules? What are some of the common polymeric materials, and how do they differ

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

Paper presentation. M S Bootha Raju Date: 28/11/09

Paper presentation. M S Bootha Raju Date: 28/11/09 Paper presentation M S Bootha Raju Date: 28/11/09 Photoemission Spectroscopy and Atomic Force Microscopy Investigation of Vapor-Phase Codeposited Silver/Poly(3-hexylthiophene) Composites L. Scudiero, Haoyan

More information

Supporting Information for:

Supporting Information for: Supporting Information for: Self-assembled blends of AB/BAB block copolymers prepared through dispersion RAFT polymerization Chengqiang Gao, Jiaping Wu, Heng Zhou, Yaqing Qu, Baohui Li,*,, and Wangqing

More information

Chapter 2. Block copolymers. a b c

Chapter 2. Block copolymers. a b c Chapter 2 Block copolymers In this thesis, the lamellar orientation in thin films of a symmetric diblock copolymer polystyrene-polymethylmethacylate P(S-b-MMA) under competing effects of surface interactions

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE RADIALLY ORDERED BIT PATTERNED MEDIA USING A COMBINATION OF NANOIMPRINT LITHOGRAPHY AND SELF-ASSEMBLY AND FABRICATION OF PATTERN MEDIA

More information

Introduction to Electron Beam Lithography

Introduction to Electron Beam Lithography Introduction to Electron Beam Lithography Boštjan Berčič (bostjan.bercic@ijs.si), Jožef Štefan Institute, Jamova 39, 1000 Ljubljana, Slovenia 1. Introduction Electron Beam Lithography is a specialized

More information

Template-Assisted Formation of Gradient Concentric Gold Rings

Template-Assisted Formation of Gradient Concentric Gold Rings Template-Assisted Formation of Gradient Concentric Gold Rings NANO LETTERS 2006 Vol. 6, No. 12 2949-2954 Suck Won Hong, Jun Xu, and Zhiqun Lin* Department of Materials Science and Engineering, Iowa State

More information

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs

Electron Beam Curable Varnishes. Rapid Processing of Planarization Layers on Polymer Webs Electron Beam Curable Varnishes Rapid Processing of Planarization Layers on Polymer Webs Juliane Fichtner, Michaela Hagenkamp, Markus Noss, Steffen Günther Fraunhofer Institute for Organic Electronics,

More information

RESEARCH HIGHLIGHTS. Polymer Photonic Crystals by Self-Assembly Raymond Weitekamp

RESEARCH HIGHLIGHTS. Polymer Photonic Crystals by Self-Assembly Raymond Weitekamp RESEARCH HIGHLIGHTS From the Resnick Sustainability Institute Graduate Research Fellows at the California Institute of Technology Polymer Photonic Crystals by Self-Assembly Global Significance Urbanization

More information

University of Groningen. Thin films of complexed block copolymers van Zoelen, Wendy; ten Brinke, Gerrit. Published in: Soft Matter

University of Groningen. Thin films of complexed block copolymers van Zoelen, Wendy; ten Brinke, Gerrit. Published in: Soft Matter University of Groningen Thin films of complexed block copolymers van Zoelen, Wendy; ten Brinke, Gerrit Published in: Soft Matter DOI: 10.1039/b817093b IMPORTANT NOTE: You are advised to consult the publisher's

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,500 108,000 1.7 M Open access books available International authors and editors Downloads Our

More information

Supporting Information for:

Supporting Information for: Supporting Information for: Preparation and Cross-linking of All-Acrylamide Diblock Copolymer Nano-objects via Polymerization-Induced Self-Assembly in Aqueous Solution Sarah J. Byard, Mark Williams, Beulah

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Lecture No. (1) Introduction of Polymers

Lecture No. (1) Introduction of Polymers Lecture No. (1) Introduction of Polymers Polymer Structure Polymers are found in nature as proteins, cellulose, silk or synthesized like polyethylene, polystyrene and nylon. Some natural polymers can also

More information

Dumpling-Like Nanocomplex of Foldable Janus Polymer Sheet and Sphere

Dumpling-Like Nanocomplex of Foldable Janus Polymer Sheet and Sphere Dumpling-Like Nanocomplex of Foldable Janus Polymer Sheet and Sphere Lei Gao, Ke Zhang, and Yongming Chen* Supporting Information Experimental Section Materials The triblock terpolymer, P2VP 310 -b-ptepm

More information

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy Jing-jiang Yu Nanotechnology Measurements Division Agilent Technologies, Inc. Atomic Force Microscopy High-Resolution

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

Synthesis Breakout. Overarching Issues

Synthesis Breakout. Overarching Issues Synthesis Breakout. Overarching Issues 1. What are fundamental structural and electronic factors limiting Jsc, Voc, and FF in typical polymer bulk-heterojunction cells? Rational P- and N-type materials

More information

UNIQUE SURFACE ADSORPTION BEHAVIORS OF SERUM PROTEINS ON CHEMICALLY UNIFORM AND ALTERNATING SURFACES

UNIQUE SURFACE ADSORPTION BEHAVIORS OF SERUM PROTEINS ON CHEMICALLY UNIFORM AND ALTERNATING SURFACES UNIQUE SURFACE ADSORPTION BEHAVIORS OF SERUM PROTEINS ON CHEMICALLY UNIFORM AND ALTERNATING SURFACES A dissertation submitted to the Faculty of the Graduate School of Arts and Sciences of Georgetown University

More information

Ordered, porous and multifaceted polymer films

Ordered, porous and multifaceted polymer films Ordered, porous and multifaceted polymer films Essay Submission for the 2008 IUPAC Prize for Young Chemists Dr. Luke A. Connal Department of Chemical and Biomolecular Engineering, The University of Melbourne,

More information

2003 Materials Research Society. Reprinted with permission.

2003 Materials Research Society. Reprinted with permission. Harri Kosonen, Sami Valkama, Janne Ruokolainen, Gerrit ten Brinke, and lli Ikkala. Polymeric ne Dimensional Reflectors Based on Self rganization of Comb Shaped Supramolecules, Materials Research Society

More information

Correlative Raman Imaging of Polymeric Materials

Correlative Raman Imaging of Polymeric Materials APPLICATION NOTE Correlative Raman Imaging of Polymeric Materials WITec GmbH, Lise-Meitner-Str. 6, 89081 Ulm, Germany phone+49 (0) 731 140 700, fax +49 (0) 731 140 70 200 info@witec.de, www.witec.de Characterization

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Pollen-like particles can be prepared by exposure of polymer microparticles to an electron beam

Pollen-like particles can be prepared by exposure of polymer microparticles to an electron beam Correspondence kart@photon.chitose.ac.jp Disciplines Biophysics Keywords Pollen Biophysics Electron Beam Pollen-like particles can be prepared by exposure of polymer microparticles to an electron beam

More information

Atomic Force Microscopy imaging and beyond

Atomic Force Microscopy imaging and beyond Atomic Force Microscopy imaging and beyond Arif Mumtaz Magnetism and Magnetic Materials Group Department of Physics, QAU Coworkers: Prof. Dr. S.K.Hasanain M. Tariq Khan Alam Imaging and beyond Scanning

More information

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma Journal of the Korean Physical Society, Vol. 54, No. 3, March 2009, pp. 11561160 Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma S. M. Kang, W. J. Park and

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Supporting Information Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Step and Flash Imprint Lithography Vaibhav S. Khire, 1 Youngwoo Yi, 2 Noel A. Clark, 2 and Christopher

More information

The vibrational spectroscopy of polymers

The vibrational spectroscopy of polymers D. I. BOWER Reader in Polymer Spectroscopy Interdisciplinary Research Centre in Polymer Science & Technology Department of Physics, University of Leeds W.F. MADDAMS Senior Visiting Fellow Department of

More information

Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification

Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification Supporting Information to Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification by Tina I. Löbling, Olli Ikkala, André H. Gröschel *, Axel H. E. Müller * Materials

More information

Polymers in Modified Asphalt Robert Q. Kluttz KRATON Polymers

Polymers in Modified Asphalt Robert Q. Kluttz KRATON Polymers Polymers in Modified Asphalt Robert Q. Kluttz KRATON Polymers Polymers in Modified Asphalt Types of Polymers Compatibility of Polymers Effects of Polymers Analysis of polymers Recovery of PMA What Is a

More information

Toward nanoporous composite membranes with tailored block copolymers as selective layer

Toward nanoporous composite membranes with tailored block copolymers as selective layer Research Topic: Toward nanoporous composite membranes with tailored block copolymers as selective layer By Marcel Gawenda Outline of the presentation: 1. Motivation of the project 2. Concept of the project

More information

Supplementary Figure 1. SEM images of (a) 1, (b) 1 PSt/PMMA, and (c) polymer blend isolated from 1 PSt/PMMA. The size and morphology of the host

Supplementary Figure 1. SEM images of (a) 1, (b) 1 PSt/PMMA, and (c) polymer blend isolated from 1 PSt/PMMA. The size and morphology of the host Supplementary Figure 1. SEM images of (a) 1, (b) 1 PSt/PMMA, and (c) polymer blend isolated from 1 PSt/PMMA. The size and morphology of the host crystals were the almost same to those of the blend polymer

More information

Lecture 8. Photoresists and Non-optical Lithography

Lecture 8. Photoresists and Non-optical Lithography Lecture 8 Photoresists and Non-optical Lithography Reading: Chapters 8 and 9 and notes derived from a HIGHLY recommended book by Chris Mack, Fundamental Principles of Optical Lithography. Any serious student

More information

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX,

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX, SUPPORTING INFORMATION FOR: Designing intrablock attractions to increase the χ parameter of a symmetric diblock copolymer Sunshine X. Zhou, Dustin W. Janes, Chae Bin Kim, C. Grant Willson, Christopher

More information

Polymer Reaction Engineering

Polymer Reaction Engineering Polymer Reaction Engineering Polymerization Techniques Bulk Solution Suspension Emulsion Interfacial Polymerization Solid-State Gas-Phase Plasma Polymerization in Supercritical Fluids Bulk Polymerization

More information

Robust shadow-mask evaporation via lithographically controlled undercut

Robust shadow-mask evaporation via lithographically controlled undercut Robust shadow-mask evaporation via lithographically controlled undercut B. Cord, a C. Dames, and K. K. Berggren Massachusetts Institute of Technology, Cambridge, Massachusetts 02139-4309 J. Aumentado National

More information

Providing sustainable supply of clean water is one of

Providing sustainable supply of clean water is one of 1 Introduction Fabrication of Water Treatment Membrane Using Templating Method A Critical Review Fabrication of Water Treatment Membrane Using Templating Method A Critical Review ABSTRACT The progress

More information

Citation for published version (APA): Gobius du Sart, G. (2009). Supramolecular triblock copolymer complexes s.n.

Citation for published version (APA): Gobius du Sart, G. (2009). Supramolecular triblock copolymer complexes s.n. University of Groningen Supramolecular triblock copolymer complexes Gobius du Sart, G. IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you wish to cite from it.

More information

Orientation of Supramolecular Self-Organized Polymeric Nanostructures by Oscillatory Shear Flow

Orientation of Supramolecular Self-Organized Polymeric Nanostructures by Oscillatory Shear Flow Macromolecules 2000, 33, 3441-3446 3441 Orientation of Supramolecular Self-Organized Polymeric Nanostructures by Oscillatory Shear Flow R. Ma1 kinen, J. Ruokolainen, and O. Ikkala* Department of Engineering

More information

1 o.3. 0 o.5. Dec. 1 o.0 R.A. on 0. o 5 off 1. o 0 1. o

1 o.3. 0 o.5. Dec. 1 o.0 R.A. on 0. o 5 off 1. o 0 1. o An Optical Reector System for the CANGAROO-II Telescope Akiko Kawachi for the CANGAROO Collaboration 1 Institute for Cosmic Ray Research, University of Tokyo Tanashi, Tokyo 188-8502, Japan 2 Abstract.

More information

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano,

More information

Functionalization of Polypropylene for Energy Storage Application

Functionalization of Polypropylene for Energy Storage Application ACS Polyolefin Workshop in Tribute to Professor James E. McGrath Functionalization of Polypropylene for Energy Storage Application T. C. Mike Chung Department of Materials Science and Engineering The Pennsylvania

More information

Interfacial Morphology Development during PS/PMMA Reactive Coupling

Interfacial Morphology Development during PS/PMMA Reactive Coupling 6586 Macromolecules 2005, 38, 6586-6591 Interfacial Morphology Development during PS/PMMA Reactive Coupling Jianbin Zhang, Timothy P. Lodge,* and Christopher W. Macosko* Department of Chemical Engineering

More information

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA Applied Physics Research; Vol. 6, No. 3; 204 ISSN 96-9639 E-ISSN 96-9647 Published by Canadian Center of Science and Education The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

BDS2016 Tutorials: Local Dielectric Spectroscopy by Scanning Probes

BDS2016 Tutorials: Local Dielectric Spectroscopy by Scanning Probes BDS2016 Tutorials: Local Dielectric Spectroscopy by Scanning Probes Massimiliano Labardi CNR Institute for Physico-Chemical Processes (IPCF) Pisa (Italy) OUTLINE Broadband Dielectric Spectroscopy (BDS):

More information

Synthesis and properties of poly(4-vinylpyridine)/ montmorillonite nanocomposites

Synthesis and properties of poly(4-vinylpyridine)/ montmorillonite nanocomposites e-polymers 2003, no. 049. http://www.e-polymers.org ISSN 1618-7229 Short communication: Synthesis and properties of poly(4-vinylpyridine)/ montmorillonite nanocomposites Sinan Sen *, Nihan Nugay, Turgut

More information

Carbon nanotube coated snowman-like particles and their electro-responsive characteristics. Ke Zhang, Ying Dan Liu and Hyoung Jin Choi

Carbon nanotube coated snowman-like particles and their electro-responsive characteristics. Ke Zhang, Ying Dan Liu and Hyoung Jin Choi Supporting Information: Carbon nanotube coated snowman-like particles and their electro-responsive characteristics Ke Zhang, Ying Dan Liu and Hyoung Jin Choi Experimental Section 1.1 Materials The MWNT

More information

In situ Experiments in Material Science:

In situ Experiments in Material Science: In situ Experiments in Material Science: Rheo-Saxs, Rheo-Dielectric, Rheo-NMR, In situ-nmr Prof. Dr. M. Wilhelm Institute of Chemical and Polymer Chemistry Manfred.Wilhelm@kit.edu Fourier Transform-Rheology

More information

Chapter 13 - Polymers Introduction

Chapter 13 - Polymers Introduction Chapter 13 - Polymers Introduction I. Nomenclature A. Polymer/Macromolecule polymer - nonmetallic material consisting of large molecules composed of many repeating units - from Greek: poly (many) and meros

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

100 nm period gratings produced by lithographically induced self-construction

100 nm period gratings produced by lithographically induced self-construction INSTITUTE OFPHYSICS PUBLISHING Nanotechnology 14 (2003) 786 790 NANOTECHNOLOGY PII: S0957-4484(03)55891-3 100 nm period gratings produced by lithographically induced self-construction Xinya Lei, Lin Wu,

More information

Composition and Photochemical Mechanisms of Photoresists

Composition and Photochemical Mechanisms of Photoresists OpenStax-CNX module: m25525 1 Composition and Photochemical Mechanisms of Photoresists Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License

More information

August 27, International ANSYS Conference Nick Reynolds, Ph.D. Director, Materials Pre-Sales, US, Accelrys

August 27, International ANSYS Conference Nick Reynolds, Ph.D. Director, Materials Pre-Sales, US, Accelrys Multiscale modeling and simulation developing solutions which link the atomistic, mesoscale, and engineering scales August 27, 2008 2008 International ANSYS Conference Nick Reynolds, Ph.D. Director, Materials

More information

Supporting Material Biomimetic zinc chlorin poly(4-vinylpyridine) assemblies: doping level dependent emission-absorption regimes

Supporting Material Biomimetic zinc chlorin poly(4-vinylpyridine) assemblies: doping level dependent emission-absorption regimes Supporting Material Biomimetic zinc chlorin poly(4-vinylpyridine) assemblies: doping level dependent emission-absorption regimes Ville Pale, a, Taru Nikkonen, b, Jaana Vapaavuori, c Mauri Kostiainen, c

More information

GUJARAT TECHNOLOGICAL UNIVERSITY, AHMEDABAD, GUJARAT COURSE CURRICULUM. Course Title: Polymer Chemistry (Code: )

GUJARAT TECHNOLOGICAL UNIVERSITY, AHMEDABAD, GUJARAT COURSE CURRICULUM. Course Title: Polymer Chemistry (Code: ) GUJARAT TECHNOLOGICAL UNIVERSITY, AHMEDABAD, GUJARAT COURSE CURRICULUM Course Title: Polymer (Code: 3322301) Diploma Programme in which this course is offered Plastic Engineering Semester in which offered

More information

POLY Awards Mark Scholars -Previous Awardees Mark Scholars Program

POLY Awards Mark Scholars -Previous Awardees Mark Scholars Program 2015 Mark Scholars Program Mark Scholar Award: Stuart Rowan Mark Young Scholar Award: Bradley Olsen 2013 Mark Scholars Program Mark Young Scholar Award: Rachel O'Reilly Mark Scholar Award : Rigoberto Advincula

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

AFM Surface Studies of Polychloroprene/Piperylene-Styrene Copolymer Blends

AFM Surface Studies of Polychloroprene/Piperylene-Styrene Copolymer Blends ISSN 1392 132 MATERIALS SCIENCE (MEDŽIAGOTYRA). Vol. 11, No. 3. 5 AFM Surface Studies of Polychloroprene/Piperylene-Styrene Copolymer Blends Virginija JANKAUSKAITĖ, Kristina ŽUKIENĖ, Kazys Vytautas MICKUS

More information

TOPIC 7. Polymeric materials

TOPIC 7. Polymeric materials Universidad Carlos III de Madrid www.uc3m.es MATERIALS SCIENCE AND ENGINEERING TOPIC 7. Polymeric materials 1. Introduction Definition General characteristics Historic introduction Polymers: Examples 2.

More information

Optical Proximity Correction

Optical Proximity Correction Optical Proximity Correction Mask Wafer *Auxiliary features added on mask 1 Overlay Errors + + alignment mask wafer + + photomask plate Alignment marks from previous masking level 2 (1) Thermal run-in/run-out

More information

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative

Supporting Information. Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Supporting Information Metallic Adhesion Layer Induced Plasmon Damping and Molecular Linker as a Non-Damping Alternative Terefe G. Habteyes, Scott Dhuey, Erin Wood, Daniel Gargas, Stefano Cabrini, P. James

More information

Intrinsic Electronic Transport Properties of High. Information

Intrinsic Electronic Transport Properties of High. Information Intrinsic Electronic Transport Properties of High Quality and MoS 2 : Supporting Information Britton W. H. Baugher, Hugh O. H. Churchill, Yafang Yang, and Pablo Jarillo-Herrero Department of Physics, Massachusetts

More information

Nanoscale Surface Patterning and Applications: Using Top-Down Patterning Methods to Aid Bottom-Up Fabrication

Nanoscale Surface Patterning and Applications: Using Top-Down Patterning Methods to Aid Bottom-Up Fabrication Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2012-08-31 Nanoscale Surface Patterning and Applications: Using Top-Down Patterning Methods to Aid Bottom-Up Fabrication Anthony

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Scheme 1: Reaction scheme for the synthesis of p(an-co-mma) copolymer

Scheme 1: Reaction scheme for the synthesis of p(an-co-mma) copolymer Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2016 Design and Development of Poly (acrylonitrile-co-methyl methacrylate) Copolymer to Improve

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Micro- and Nano-Fabrication of Stimuli-Responsive Polymers

Micro- and Nano-Fabrication of Stimuli-Responsive Polymers Micro- and Nano-Fabrication of Stimuli-Responsive Polymers Y. Ito Kanagawa Academy of Science and Technology KSP East 309, 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012, Japan Phone: 044-819-2044 Facsimile:

More information

Polymer Separations by Chemical Composition Using SEC-Gradients

Polymer Separations by Chemical Composition Using SEC-Gradients Polymer Separations by Chemical Composition Using SEC-Gradients Wolfgang Radke PSS Polymer Standards Service GmbH International Symposium on GPC/SEC and Related Techniques Sept. 30 th.-oct. 2 nd 2014 Frankfurt/Main

More information

Self-Assembled InAs Quantum Dots on Patterned InP Substrates

Self-Assembled InAs Quantum Dots on Patterned InP Substrates Self-Assembled InAs Quantum Dots on Patterned InP Substrates J. Lefebvre, P.J. Poole, J. Fraser, G.C. Aers, D. Chithrani, and R.L. Williams Institute for Microstructural Sciences, National Research Council

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Unconventional Nano-patterning. Peilin Chen

Unconventional Nano-patterning. Peilin Chen Unconventional Nano-patterning Peilin Chen Reference Outlines History of patterning Traditional Nano-patterning Unconventional Nano-patterning Ancient Patterning "This is the Elks' land". A greeting at

More information

Self-Assembly on the Sphere: A Route to Functional Colloids

Self-Assembly on the Sphere: A Route to Functional Colloids Self-Assembly on the Sphere: A Route to Functional Colloids Tanya L. Chantawansri Glenn H. Fredrickson, Hector D. Ceniceros, and Carlos J. García-Cervera January 23, 2007 CFDC Annual Meeting 2007 Contents

More information

CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW

CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW CREATING TOMORROW S SOLUTIONS HEAT-SEALABLE COATINGS I PRINTING INKS I INDUSTRIAL COATINGS VINNOL SURFACE COATING RESINS PRODUCT OVERVIEW 1 Viscosity Flexibility Thermal-activation temperature Solubility

More information

Supporting Information

Supporting Information Supporting Information Wiley-VCH 2013 69451 Weinheim, Germany Colloidal Clusters by Using Emulsions and Dumbbell-Shaped Particles: Experiments and Simulations** Bo Peng,* Frank Smallenburg,* Arnout Imhof,

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012179 TITLE: Salt-Induced Block Copolymer Micelles as Nanoreactors for the Formation of CdS Nanoparticles DISTRIBUTION: Approved

More information

EUREKA: A new Industry EUV Research Center at LBNL

EUREKA: A new Industry EUV Research Center at LBNL EUREKA: A new Industry EUV Research Center at LBNL Patrick Naulleau Center for X-ray Optics Lawrence Berkeley National Laboratory Berkeley Lab MSD Materials Sciences Division 1 Operating model Core operational

More information

Supporting Information

Supporting Information Supporting Information Analysis Method for Quantifying the Morphology of Nanotube Networks Dusan Vobornik*, Shan Zou and Gregory P. Lopinski Measurement Science and Standards, National Research Council

More information

Supplementary Information for

Supplementary Information for Supplementary Information for Highly Stable, Dual-Gated MoS 2 Transistors Encapsulated by Hexagonal Boron Nitride with Gate-Controllable Contact Resistance and Threshold Voltage Gwan-Hyoung Lee, Xu Cui,

More information