Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Size: px
Start display at page:

Download "Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers"

Transcription

1 Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano, Joy Cheng and Daniel P. Sanders 2015 DSA Symposium Leuven, Belgium ct. 27 th 2015

2 Background: Motivation for High-χ BCPs PS-b-PMMA is the most promising candidate as Generation 1 material for DSA 15k-15k PS-b-PMMA 14k-14k PS-b-PMMA Pitch (Lo) ~ 20 nm 500 nm Higher-χ BCPs needed for sub-20 nm pitch resolution 2 Reduce pitch by increasing χ ~ / / = 10.5 Potential benefits of High-χ BCPs Smaller pitch Lower LER Higher etch-selectivity

3 Materials Development for Sub-20 nm Pitch Patterning New Block Copolymer Synthesis rientation Control Strategy underlayer Si substrate Spin coat BCP solution Thermal anneal underlayer Si substrate R R PLA PTMC R rganocatalytic RP at IBM -Jim Hedrick and team R 1 H N H PMD R 2 H H R 1 N H R 2 R R Si PVL R H Si Si PTMCS H Si H Si Si Si R R R R Si Si Si H PDMS PCL PE PBL H H H Desired Characteristics Perpendicular orientation by short thermal annealing Top-coat free ab compatible processing / solvents Compatible with existing DSA schemes 3 Chem. Rev., 2007, 107 (12), pp

4 utline Phase-selective, surface active polymer (SAP) as additives for top orientation control of polycarbonate-containing BCPs Design rules for making highly efficient SAP additives SAP molecular weight & PDI DSA compatible SAP additives Generation II high- χ materials towards integration friendly materials Polystyrene-b-Polytrimethylene Carbonate Gen I BCP 1. rganocatalyst + 2. TEA, AcCl PS-b-PTMC 4

5 Previous Results from Photopolymer 2015 Conference Development of High Purity PS-b-PTMC BCP Mn GPC = 21.5k PDI = 1.02 BCP Mn NMR = 6.6k-7.5k PS-H Macroinitiator Mn = 6.6k, PDI ~ 1.04 Carbonate-based Underlayer Materials Sty = 62 mol%, 0.5 Lo islands Neutral Underlayer Surface-active Additives for Top rientation Control? Carbonate-based Neutral UL Coat BCP + Additive HA-materials as Phase-selective, Surface-active Polymer (SAP) Additives Neutral UL Anneal Surface active 5 Neutral UL Hydrogen bonding w/ Polycarbonates

6 HA Materials as Surface Active Polymer (SAP) Additives ormulation: Neutral Underlayer ormulation= BCP + 10% PHA-Sty Polycarbonate Containing BCP + P(HA-Sty) BCP+ P(HA-Sty) Neutral UL + solvent 3 C H C 3 Baking Pitch ~ 19 nm 100 nm Neutral UL PS-PTMC 6.2k -7.7k(Vf ~0.5) + 10% P(HA-Sty), 140 C/5 min UL = Neutral orientation of lamellae high-χ BCP by simple coat and bake Low annealing temperatures & fab-compatible processing 6 What are the design rules for developing highly-efficient SAP additives?

7 Effect of Additive Molecular Weight on Self Assembly SAP Additive: Poly(HA-Styrene) BCP: PS-b-PTMC SAP amount = 5 wt% w.r.t BCP BCP Mn = 6.6k-b-7.7k, VfPTMC~ 0.49 BCP Pitch ~ 19 nm 3 C C 3 H Mn ~ 7.0k, PDI 1.08 Mn ~ 12.0k, PDI 1.08 Mn ~ 18.0k, PDI nm 7 Molecular weight control of additives is important for good self assembly

8 Effect of Additive PDI on Self Assembly Additive: P(HA-Sty) 5wt% BCP: PS-b-PTMC, Pitch ~ 19nm Underlayer: Neutral, Sty ~ 62% Mw ~ 12.3k, PDI = 2.10 Mw ~ 12.9k, PDI = nm No significant impact of SAP additive PDI on Self assembly of PS-b-PTMC 8

9 HA Methacrylate-based SAP Additives Bis-HAcyclohexyl MA ipr-hama HA-Sty H 3 C 3 C 3 C C 3 H 3 C C 3 H 3 C C 3 H 5 wt% additive BCP: PS-b-PTMC 400 nm 9 HA Methacrylate-based additives did not perform as well

10 DSA Attempt of BCP + P(HA-Sty) Additive Graphoepitaxy DSA R R PS P(HA-Sty) PTMC Neutral PS-b-PTMC + 10% P(HA-Sty) Resist BCP + SAP Secondary Ion Intensity (c/s) 1E+7 1E+6 1E+5 1E+4 1E+3 1E+2 1E+1 SIMS of BCP + SAP ilm BCP + 10% SAP on Neutral UL C Si 1E Sputtering Time (m) Where is SAP Additive? Resist sidewalls? SAP present at substrate and air interfaces 10 Better additive design needed

11 Increasing Surface-activity of the Additives P(HA-Styrene) SAP Pentafluorostyrene-r-HA-Sty copolymer random C C 3 H 3 C C 3 H PS-b-PTMC + 3% Additive PS-b-PTMC + 3% Additive Self-assembly defects P ~ 19 nm 400 nm 11 Lower SAP loading needed with more surface active materials

12 DSA of PS-b-PTMC with P(PS-r-HASty) Additive 9.5 nm HP Pitch Lamellae, 170 C / 5 min 100 nm Secondary Ion Intensity (c/s) 1E+7 1E+6 1E+5 1E+4 1E+3 1E+2 1E+1 1E+0 PS-b-PTMC + 5% P(PS-r-HASty) 100nm Si C Sputtering Time (m) SAP mostly at BCP-air interface Good DSA with improved SAP additive

13 Mechanism of rientation Control with SAP Additives TBC:PTMC-PS-PTMC Triblock Copolymer, Lo:19 nm, UL:Neutral, SAP: 2 wt% w.r.t. TBC, Annealing:170 C / 5 min PHST: H-bonding only P(PS-r-AcSty): Surface active only P(PS-r-HST): Surface active & H-bonding random 80 mol% PS 80 mol% PS Parallel lamellae Mostly Parallel lamellae Perpendicular lamellae 400 nm Both hydrogen bond donors and surface active groups are needed for perpendicular orientation 13

14 Etch Attempt for P(TMC-S-TMC) 9.5 nm HP X-section SEM Before Etching BCP After 2 Etch 2 Etch Pitch ~ 19 nm SIS + 2 etch Trimethyl Aluminum + H2 cyclesbcp After 2 Etch 20nm Dry etching of PS-b-PTMC resulted in complete pattern collapse Moderate success with SIS + 2 etch We decided to investigate BCPs with tunable χ parameter 14

15 DSA Symposium Generation /27/15 II Polycarbonate High-χ BCPs Decreasing BCP χ by Tuning Carbonate Group Generation I BCP: PS-b-PTMC Generation II BCP: PS-b-PMeCAR Mn = 12.5k-16k, PDI = 1.03 BCP + 5% P(HA-Sty) SAP Mn = 12.5k-14.6k, PDI 1.02 BCP + 2% SAP P(HA-Sty) SAP Pitch ~ 27 nm Pitch ~ 19.5 nm 200 nm 200 nm 15 Needs special underlayer synthesis Higher χ parameter Perpendicular orientation on PS-r-PMMA ULs! Lower χ parameter Lower SAP loading needed!

16 PS-r-PMMA Underlayers are Neutral for Gen II BCPs! BCP: PS-b-PMeCAR, 12.5k-b-14.6k, VfPMeCAR ~ 0.48 SAP: P(HA-Sty) 2 wt% w.r.t. BCP Underlayers: PS-r-PMMA of varying composition BCP Annealing: 170 c / 5 min SMMA, S = 18% SMMA, S = 20 % SMMA, S = 22% SMMA, S = 25% SMMA, S= 30% L o ~ 19.5 nm L o ~ 19.5 nm 19.5 nm 200 nm Integration-friendly: ab compatible underlayers work for Gen II BCPs 16

17 Gen II BCP: PS-b-PMeCAR + P(HA-Sty) SAP Additive GISAXS for PS-b-PMeCAR + SAP DSA of PS-b-PMeCAR + SAP 100 BCP + 2 wt.% SAP Perpendicular lamellae Intensity 10 1 BCP only, no SAP Parallel lamellae 0.05 q [Å -1 ] GISAXS confirms perpendicular lamellae for 2 nd Gen BCPs + SAP 0.10 Same SAP additive works for multiple polycarbonate platforms 0.15 Graphoepitaxy of PS-b-PMeCAR+ 2 wt.% SAP on neutral underlayer What about pattern transfer of 2 nd Generation polycarbonate BCPs? 17

18 Dry-etching of 19.5 nm Pitch PS-b-PMeCAR 1. Spin Coat BCP + SAP, Δ 2. 2 Etch 3. C4 / CH3 Etch 4. 2/N2 Etch UL (~4 nm) SiNx (5 nm) α-carbon (20 nm) Silicon Substrate 100 nm Pattern transfer of all-organic high-χ BCP demonstrated 18

19 Polycarbonate BCPs for DSA Summary Top rientation Control & DSA SAP Additive 2 wt% SAP random PS-b-PMeCAR Pattern Transfer STG2, in S Hardmask = 62 Sub-10 nm Half-pitch 3 C C 3 H Acknowledgements Guanyang Lin, Margareta Paunescu, Durairaj Baskaran, Yi Cao Merck. (PS-r-PMMA ULs and PS-H macroinitiators) Vaughn Deline IBM Almaden (SIMS) Krystelle Lionti IBM Almaden (XRR) Kevin Yager BNL (GISAXS of PS-b-PTMC) 19

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

The SMART Process for Directed Block Co-Polymer Self-Assembly

The SMART Process for Directed Block Co-Polymer Self-Assembly Journal of Photopolymer Science and Technology Volume 26, Number 5 (2013) 573 579 2013SPST The SMART Process for Directed Block Co-Polymer Self-Assembly Jihoon Kim*, Jingxiu Wan, Shinji Miyazaki, Jian

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Supporting Online Material Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Mark P. Stoykovich, 1 Marcus Müller, 2 Sang Ouk Kim, 1* Harun H. Solak, 3 Erik W. Edwards,

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION A. Gharbi, R. Tiron, M. Argoud, P. Pimenta Barros, S. Bos, G. Chamiotmaitral, I. Servin, A. Fouquet, J. Hazart (CEA-LETI) X. Chevalier, C.

More information

Imaging Polymer Morphology Using Atomic Force Microscopy

Imaging Polymer Morphology Using Atomic Force Microscopy Imaging Polymer Morphology Using Atomic Force Microscopy Russell J. Composto Materials Science and Engineering, and the Laboratory for Research on the Structure of Matter, University of Pennsylvania Agilent

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Chapter 5. Ionic Polymerization. Anionic.

Chapter 5. Ionic Polymerization. Anionic. Chapter 5. Ionic Polymerization. Anionic. Anionic Polymerization Dr. Houston S. Brown Lecturer of Chemistry UH-Downtown brownhs@uhd.edu What you should know: What is anionic polymerization? What is MWD,

More information

Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer

Supporting Information for: Rapid Ordering in. Wet Brush Block Copolymer/Homopolymer Supporting Information for: Rapid Ordering in Wet Brush Block Copolymer/Homopolymer Ternary Blends Gregory S. Doerk* and Kevin G. Yager Center for Functional Nanomaterials, Brookhaven National Laboratory,

More information

Process window analysis for contact hole shrinking: A simulation study

Process window analysis for contact hole shrinking: A simulation study Process window analysis for contact hole shrinking: A simulation study Andreas Erdmann 1, Przemysław Michalak 1, Mohamed Ismail 1,2, Tim Fühner 1, Maxime Argoud 3, Raluca Tiron 3, Ahmed Gharbi 3, Juan

More information

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX,

Ellison * McKetta Department of Chemical Engineering, The University of Texas at Austin, Austin, TX, SUPPORTING INFORMATION FOR: Designing intrablock attractions to increase the χ parameter of a symmetric diblock copolymer Sunshine X. Zhou, Dustin W. Janes, Chae Bin Kim, C. Grant Willson, Christopher

More information

2 Current status of the project

2 Current status of the project 1 Background The current research project started in September 2015 and its objective was to prepare and characterizise supramolecular block copolymer thin lms. Special interest was to study whether it

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,500 108,000 1.7 M Open access books available International authors and editors Downloads Our

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

Supporting Information for

Supporting Information for Supporting Information for Encapsulation and Controlled Release of L-Leuprolide from Poly(βhydroxyalkanoate)s: Impact of Microstructure and Chemical Functionalities Noureddine Ajellal, Christophe M. Thomas,*

More information

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Professor Christopher J. Ellison McKetta Department of Chemical Engineering and Texas Materials Institute The University

More information

Supplementary Information. Self-assembly of PS-PNaSS-PS triblock copolymers from solution to solid state

Supplementary Information. Self-assembly of PS-PNaSS-PS triblock copolymers from solution to solid state Electronic Supplementary Material (ESI) for Polymer Chemistry. This journal is The Royal Society of Chemistry 2017 Supplementary Information Self-assembly of PS-PNaSS-PS triblock copolymers from solution

More information

Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification

Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification Supporting Information to Controlling Multicompartment Morphologies Using Solvent Conditions and Chemical Modification by Tina I. Löbling, Olli Ikkala, André H. Gröschel *, Axel H. E. Müller * Materials

More information

Next: 193nm Lithography

Next: 193nm Lithography Lecture 16 Chemical Engineering for Micro/Nano Fabrication Next: 193nm Lithography Absorption coefficient [1/µm] Absorption of Photoresist Polymers 2.8 Poly-(4-hydroxystyrene) 2.4 Meta-cresol novolak 2.0

More information

PROCEEDINGS OF SPIE. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures

PROCEEDINGS OF SPIE. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/1/10/e1500751/dc1 Supplementary Materials for Uniform metal nanostructures with long-range order via three-step hierarchical self-assembly The PDF file includes:

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns

Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns By Joona Bang, Unyong Jeong, Du Yeol Ryu, Thomas P. Russell,* and Craig J. Hawker* The self-asembly of block

More information

Polymer/drug films as a model system for a drug eluting coronary stent coating layer

Polymer/drug films as a model system for a drug eluting coronary stent coating layer Polymer/drug films as a model system for a drug eluting coronary stent coating layer Valeria Ciarnelli Prof. Clive Roberts Prof. Morgan Alexander, Prof. Martyn Davies School of Pharmacy The University

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Gel Permeation Chromatography

Gel Permeation Chromatography Gel Permeation Chromatography Polymers and Coatings Laboratory California Polytechnic State University San Luis Obispo, CA Gel permeation chromatography (GPC) has become the most widely used technique

More information

Single-ion BAB triblock copolymers as highly efficient electrolytes for lithium-metal batteries

Single-ion BAB triblock copolymers as highly efficient electrolytes for lithium-metal batteries Single-ion BAB triblock copolymers as highly efficient electrolytes for lithium-metal batteries Supplementary information Polymer characterization. The composition of the A-BCEs has been determined using

More information

High intrinsic carrier mobility,

High intrinsic carrier mobility, Epitaxial Graphene Nanoribbon Array Fabrication Using BCP-Assisted Nanolithography Guanxiong Liu,, Yanqing Wu, Yu-Ming Lin, Damon B. Farmer, John A. Ott, John Bruley, Alfred Grill, Phaedon Avouris, Dirk

More information

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE RADIALLY ORDERED BIT PATTERNED MEDIA USING A COMBINATION OF NANOIMPRINT LITHOGRAPHY AND SELF-ASSEMBLY AND FABRICATION OF PATTERN MEDIA

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Improving Adhesion: Examining the Electrochemistry of Organic Inhibitors

Improving Adhesion: Examining the Electrochemistry of Organic Inhibitors Improving Adhesion: Examining the Electrochemistry of rganic Inhibitors Benefits of rganics Chemisorb onto metallic substrates Complex with metal ions at substrate Neutralize & absorb the corrodents Decrease

More information

Various approximations for describing electrons in metals, starting with the simplest: E=0 jellium model = particle in a box

Various approximations for describing electrons in metals, starting with the simplest: E=0 jellium model = particle in a box ) Metallic Bond The outer electrons are weakly bound. They roam freely in the space between the atoms and thus are able to conduct electricity. They can be approximated by free electrons in a constant,

More information

Supporting Information

Supporting Information Supporting Information Wiley-VCH 2006 69451 Weinheim, Germany Application of Solvent-Directed Assembly of Block Copolymers to the Synthesis of Nanostructured Low Dielectric Constant Materials Thomas M.

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

Effect of PAG Location on Resists for Next Generation Lithographies

Effect of PAG Location on Resists for Next Generation Lithographies Effect of PAG Location on Resists for Next Generation Lithographies ber Research Group Materials Science & Engineering Ithaca, NY 14853 Development Trends in Microlithography 10 Contact Printer Architectures

More information

Self Organization. Order. Homogeneous state. Structurally ordered state. Structurally ordered state. Order. Disorder

Self Organization. Order. Homogeneous state. Structurally ordered state. Structurally ordered state. Order. Disorder Muthukumar, M., Ober, C.K. and Thomas, E.L., "Competing Interactions and Levels of Ordering in Self-Organizing Materials," Science, 277, 1225-1237 (1997). Self Organization Homogeneous state Order Disorder

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Design of Optoelectronically-active Polymers for Organic Photovoltaic Applications

Design of Optoelectronically-active Polymers for Organic Photovoltaic Applications Design of Optoelectronically-active Polymers for Organic Photovoltaic Applications Bryan W. Boudouris School of Chemical Engineering Purdue University Spring 2013 Solar Research Series Purdue University

More information

Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials

Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials Louisiana State University LSU Digital Commons LSU Master's Theses Graduate School 10-10-2018 Thin-film Block Copolymers (BCPs) Self-assembly as Versatile Patterning Scheme for Functional Nanomaterials

More information

CHAPTER 8 ACETONE + CARBON DIOXIDE AS TUNABLE MIXTURE SOLVENTS FOR. POLY (ε-caprolactone)

CHAPTER 8 ACETONE + CARBON DIOXIDE AS TUNABLE MIXTURE SOLVENTS FOR. POLY (ε-caprolactone) CHAPTER 8 ACETONE + CARBON DIOXIDE AS TUNABLE MIXTURE SOLVENTS FOR POLY (ε-caprolactone) Poly (ε-caprolactone) is a semi-crystalline polymer that shows a high degree of miscibility with a number of different

More information

Secondaryionmassspectrometry

Secondaryionmassspectrometry Secondaryionmassspectrometry (SIMS) 1 Incident Ion Techniques for Surface Composition Analysis Mass spectrometric technique 1. Ionization -Electron ionization (EI) -Chemical ionization (CI) -Field ionization

More information

Contents. Principles: Theory and Practice

Contents. Principles: Theory and Practice Contents Part I Principles: Theory and Practice 1 Physical Principles of Scanning Probe Microscopy Imaging... 3 1.1 Introduction... 3 1.2 The Physical Principles of Atomic Force Microscopy... 4 1.2.1 Forces

More information

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Supporting Information Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Zhongbo Yan, Ming Xia, Pei Zhang, and Ya-Hong Xie* Department of

More information

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

Orthogonal Processing: A New Strategy for Patterning Organic Electronics 1 rthogonal Processing: A New Strategy for Patterning rganic Electronics ERC Teleconference 3/September/2009 Jin-Kyun Lee and Christopher K. ber* Materials Science & Engineering Cornell University 2 rganic

More information

Supporting Information for

Supporting Information for Supporting Information for Solution Self-Assembly of Block Copolymers Containing a Branched Hydrophilic Block into Inverse Bicontinuous Cubic Mesophases Tae Hyun An, Yunju La, Arah Cho, Moon Gon Jeong,

More information

Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry

Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry Dhairya J. Dixit Vimal Kamineni Richard Farrell Erik R. Hosler Moshe Preil Joseph Race Brennan Peterson

More information

Kim, Sang Ouk

Kim, Sang Ouk Directed Block Copolymer Assembly Integrated with Conventional ArF or I-line lithography Kim, ang Ouk (sangouk.kim@kaist.ac.kr) oft Nanomaterials Laboratory (http://snml.kaist.ac.kr) Department of Materials

More information

Anomalous phase behavior in blends of -SO 3 H terminated polystyrene with poly(n-butyl acrylate) containing a small amount of tertiary amino groups

Anomalous phase behavior in blends of -SO 3 H terminated polystyrene with poly(n-butyl acrylate) containing a small amount of tertiary amino groups e-polymers 2008, no. 078 http://www.e-polymers.org ISSN 1618-7229 Anomalous phase behavior in blends of -SO 3 H terminated polystyrene with poly(n-butyl acrylate) containing a small amount of tertiary

More information

Supplementary Figure 1. SEM images of (a) 1, (b) 1 PSt/PMMA, and (c) polymer blend isolated from 1 PSt/PMMA. The size and morphology of the host

Supplementary Figure 1. SEM images of (a) 1, (b) 1 PSt/PMMA, and (c) polymer blend isolated from 1 PSt/PMMA. The size and morphology of the host Supplementary Figure 1. SEM images of (a) 1, (b) 1 PSt/PMMA, and (c) polymer blend isolated from 1 PSt/PMMA. The size and morphology of the host crystals were the almost same to those of the blend polymer

More information

Rational design of a biomimetic glue with tunable strength and ductility

Rational design of a biomimetic glue with tunable strength and ductility Electronic Supplementary Material (ESI) for Polymer Chemistry. This journal is The Royal Society of Chemistry 2017 Supporting Information Rational design of a biomimetic glue with tunable strength and

More information

Surface and Interface Characterization of Polymer Films

Surface and Interface Characterization of Polymer Films Surface and Interface Characterization of Polymer Films Jeff Shallenberger, Evans Analytical Group 104 Windsor Center Dr., East Windsor NJ Copyright 2013 Evans Analytical Group Outline Introduction to

More information

Hydrogen Bonding in Colloidal Polymer Materials

Hydrogen Bonding in Colloidal Polymer Materials Hydrogen Bonding in Colloidal Polymer Materials 5 4 10 3 5 µm 2 0 nm -5 1-10 0 0 1 2 µm 3 4 5 Nicholas Ballard Bon Polymer Colloids Group UK PharmSci 2012 Background chemistry Outline Synthesis of monodisperse

More information

Utilization of star-shaped polymer architecture in the creation of high-density polymer

Utilization of star-shaped polymer architecture in the creation of high-density polymer Electronic Supplementary Material (ESI) for Biomaterials Science. This journal is The Royal Society of Chemistry 2014 Supplementary Information Utilization of star-shaped polymer architecture in the creation

More information

Advances in Back-side Via Etching of SiC for GaN Device Applications

Advances in Back-side Via Etching of SiC for GaN Device Applications Advances in Back-side Via Etching of SiC for GaN Device Applications Anthony Barker, Kevin Riddell, Huma Ashraf & Dave Thomas SPTS Technologies, Ringland Way, Newport NP18 2TA, UK, dave.thomas@spts.com,

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Nanoparticle-to-vesicle and nanoparticle-to-toroid transitions of ph-sensitive

More information

Electronic Supplementary Information

Electronic Supplementary Information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information Nanomanufacturing of High-Performance

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

ESH Benign Processes for he Integration of Quantum Dots (QDs)

ESH Benign Processes for he Integration of Quantum Dots (QDs) ESH Benign Processes for he Integration of Quantum Dots (QDs) PIs: Karen K. Gleason, Department of Chemical Engineering, MIT Graduate Students: Chia-Hua Lee: PhD Candidate, Department of Material Science

More information

Supplementary Information

Supplementary Information Supplementary Information Self-assembly of Metal-Polymer Analogues of Amphiphilic Triblock Copolymers 1 Zhihong Nie, 1 Daniele Fava, 1, 2, 3 Eugenia Kumacheva 1 Department of Chemistry, University of Toronto,

More information

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics

Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics Processing and Characterization of PMSSQ Based Materials for Nanoporous Low-K Dielectrics P. Lazzeri 1, L. Vanzetti 1, M. Bersani 1, M. Anderle 1, J.J. Park 2, Z. Lin 2,, R.M. Briber 2, G.W. Rubloff 2,

More information

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu

X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-Ray Photoelectron Spectroscopy (XPS) Prof. Paul K. Chu X-ray Photoelectron Spectroscopy Introduction Qualitative analysis Quantitative analysis Charging compensation Small area analysis and XPS imaging

More information

Polymer. Inorganic block copolymer lithography. Adam Nunns, Jessica Gwyther, Ian Manners * Feature article. abstract

Polymer. Inorganic block copolymer lithography. Adam Nunns, Jessica Gwyther, Ian Manners * Feature article. abstract Polymer 54 (2013) 1269e1284 Contents lists available at SciVerse ScienceDirect Polymer journal homepage: www.elsevier.com/locate/polymer Feature article Inorganic block copolymer lithography Adam Nunns,

More information

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly

produced a sputter rate of 0.9 nm/s for the radially profiled, un-etched wires. A slightly Supporting Information: Beam Current and Sputtering Rate: Using a 16 kev Cs + primary ion beam and a 1 µm 2 rastered area, a 10 pa beam current produced a sputter rate of 0.9 nm/s for the radially profiled,

More information

Polymers in Modified Asphalt Robert Q. Kluttz KRATON Polymers

Polymers in Modified Asphalt Robert Q. Kluttz KRATON Polymers Polymers in Modified Asphalt Robert Q. Kluttz KRATON Polymers Polymers in Modified Asphalt Types of Polymers Compatibility of Polymers Effects of Polymers Analysis of polymers Recovery of PMA What Is a

More information

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers The combination of polymers and the high-energy charged particles with sufficiently high LET is the promising candidate for

More information

Polymer Blends: State of the Art, New Challenges, and Opportunities

Polymer Blends: State of the Art, New Challenges, and Opportunities 1 1 Polymer Blends: State of the Art, New Challenges, and Opportunities Jyotishkumar Parameswaranpillai, Sabu Thomas, and Yves Grohens 1.1 Introduction A polymer blend is a mixture of two or more polymers

More information

Accessory Publication

Accessory Publication 10.1071/CH10127_AC CSIRO 2010 Australian Journal of Chemistry 2010, 63(8), 1210 1218 Accessory Publication Synthesis of Core Shell Nanoparticles with Polystyrene Core and PEO Corona from Core-Crosslinked

More information

Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Introduction Experimental

Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Introduction Experimental Study on the Glass Transition Temperature of Polymer Brushes on Silicon Wafer Jintao Yang, Bin Zhu, Weibin Zha and L. James Lee Department of Chemical and Biomolecular Engineering, The Ohio State University,

More information

Wet Clean Challenges for Various Applications

Wet Clean Challenges for Various Applications Wet Clean Challenges for Various Applications Business of Cleans Conference 2018 Stephen Olson, Martin Rodgers, Satyavolu Papa Rao, Chris Borst solson@sunypoly.edu Outline SUNY Poly Introduction Background

More information

On-line LC(GPC/SEC)-NMR of Complex Mixtures

On-line LC(GPC/SEC)-NMR of Complex Mixtures On-line LC(GPC/SEC)-NMR of Complex Mixtures 1 Jian Wu, Miroslav Janco Analytical Sciences, Core R&D The Dow Chemical Co. Collegeville, PA 19426 (International Symposium GPC/SEC and Related Techniques)

More information

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates Fei Peng 1, Naomi Ando 2, Roger Bernards 1, Bill Decesare 1 1 MacDermid Enthone Electronics Solutions,

More information

Fibrillated Cellulose and Block Copolymers as a Modifiers of Unsaturated Polyester Nanocomposites

Fibrillated Cellulose and Block Copolymers as a Modifiers of Unsaturated Polyester Nanocomposites Fibrillated Cellulose and Block Copolymers as a Modifiers of Unsaturated Polyester Nanocomposites Daniel H. Builes, Hugo Hernández, Laida Cano, Agnieszka Tercjak Group Materials + Technologies Polymeric

More information

Supramolecular electrospun nanofibers with high conductivity at. ultra-low carbon nanotube content

Supramolecular electrospun nanofibers with high conductivity at. ultra-low carbon nanotube content Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2016 Suppoting Information Supramolecular electrospun nanofibers with high conductivity

More information

Self-Assembly of Two-Dimensional Organic Networks Containing Heavy Metals (Pb, Bi) and Preparation of Spin-Polarized Scanning Tunneling Microscope

Self-Assembly of Two-Dimensional Organic Networks Containing Heavy Metals (Pb, Bi) and Preparation of Spin-Polarized Scanning Tunneling Microscope MPhil Thesis Defense Self-Assembly of Two-Dimensional Organic Networks Containing Heavy Metals (Pb, Bi) and Preparation of Spin-Polarized Scanning Tunneling Microscope Presented by CHEN Cheng 12 th Aug.

More information

Especial Bump Bonding Technique for Silicon Pixel Detectors

Especial Bump Bonding Technique for Silicon Pixel Detectors Especial Bump Bonding Technique for Silicon Pixel Detectors E. Cabruja, M. Bigas, M. Ullán, G. Pellegrini, M. Lozano Centre Nacional de Microelectrònica Spain Outline Motivation Summary of bump bonding

More information

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image

Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image Supplementary Figure S1. AFM image and height profile of GO. (a) AFM image and (b) height profile of GO obtained by spin-coating on silicon wafer, showing a typical thickness of ~1 nm. 1 Supplementary

More information

Supporting Information

Supporting Information Supporting Information Topographically-Designed Triboelectric Nanogenerator via Block Copolymer Self- Assembly Chang Kyu Jeong,, Kwang Min Baek,, Simiao Niu, Tae Won Nam, Yoon Hyung Hur, Dae Yong Park,

More information

Supporting Information for:

Supporting Information for: Supporting Information for: Self-assembled blends of AB/BAB block copolymers prepared through dispersion RAFT polymerization Chengqiang Gao, Jiaping Wu, Heng Zhou, Yaqing Qu, Baohui Li,*,, and Wangqing

More information

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle

SUPPORTING INFORMATION. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle SUPPORTING INFORMATION The general fabrication process is illustrated in Figure 1. Si wire growth. Si wires were grown from Si(111) substrate that had a low miscut angle of 0.1. The Si was covered with

More information

Supporting Information

Supporting Information Supporting Information Anion Conductive Triblock Copolymer Membranes with Flexible Multication Side Chain Chen Xiao Lin a,b, Hong Yue Wu a, Ling Li a, Xiu Qin Wang a, Qiu Gen Zhang a, Ai Mei Zhu a, Qing

More information

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled Supporting information Uniform Graphene Quantum Dots Patterned from Selfassembled Silica Nanodots Jinsup Lee,,, Kyungho Kim,, Woon Ik Park, Bo-Hyun Kim,, Jong Hyun Park, Tae-Heon Kim, Sungyool Bong, Chul-Hong

More information

The Rôle of the Adhesion Promoter in a Model Water-Borne Primer

The Rôle of the Adhesion Promoter in a Model Water-Borne Primer The Rôle of the Adhesion Promoter in a Model Water-Borne Primer Siavash Adhami, Marie-Laure Abel, Chris Lowe, John F. Watts Department of Mechanical Engineering Sciences October 13-18, 213 Cagliari, Sardinia

More information

Fabrication Methods: Chapter 4. Often two methods are typical. Top Down Bottom up. Begins with atoms or molecules. Begins with bulk materials

Fabrication Methods: Chapter 4. Often two methods are typical. Top Down Bottom up. Begins with atoms or molecules. Begins with bulk materials Fabrication Methods: Chapter 4 Often two methods are typical Top Down Bottom up Begins with bulk materials Begins with atoms or molecules Reduced in size to nano By thermal, physical Chemical, electrochemical

More information

Improvement of Carbon Nanotubes Dispersivity in Poly(Styrene/Methacrylate) Composites by Chemical Functionalization

Improvement of Carbon Nanotubes Dispersivity in Poly(Styrene/Methacrylate) Composites by Chemical Functionalization OPEN ACCESS http://sciforum.net/conference/ecm-1 Proceedings Paper Improvement of Carbon Nanotubes Dispersivity in Poly(Styrene/Methacrylate) Composites by Chemical Functionalization Fabio Faraguna, Elvira

More information

synthetic strategies for the generation of polymer monolayers grafting via immobilized monomers

synthetic strategies for the generation of polymer monolayers grafting via immobilized monomers synthetic strategies for the generation of polymer monolayers I I I I "grafting-to" chemisorption: groups of the polymer are reacted with suitable surface sites grafting via immobilized monomers "grafting-from"

More information

Well-defined polyethylene-based random, block and bilayered molecular cobrushes

Well-defined polyethylene-based random, block and bilayered molecular cobrushes Well-defined polyethylene-based random, block and bilayered molecular cobrushes Hefeng Zhang, 1,2 Zhen Zhang, 1,2 Yves Gnanou, 2 Nikos Hadjichristidis 1,2 * King Abdullah University of Science and Technology

More information

Accepted Manuscript. Toroid Formation in Polystyrene-block-Poly(4-vinyl pyridine) Diblock Copolymers: Combined Substrate and Solvent Control

Accepted Manuscript. Toroid Formation in Polystyrene-block-Poly(4-vinyl pyridine) Diblock Copolymers: Combined Substrate and Solvent Control Accepted Manuscript Toroid Formation in Polystyrene-block-Poly(4-vinyl pyridine) Diblock Copolymers: Combined Substrate and Solvent Control Sheena M. O Driscoll, Colm T. O Mahony, Richard A. Farrell, Thomas

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Supporting Information

Supporting Information Supporting Information Wiley-VCH 2005 69451 Weinheim, Germany riented Perforated Nanoporous Lamellar rganosilicates Templated from Topologically Unsymmetrical Dendritic-Linear Block Copolymers Ho-Cheol

More information

C- Mats and their Applications

C- Mats and their Applications C- Mats and their Applications Materials and Electrochemical Research (MER) Corporation Tucson, Arizona Dr. R. O. LOUTFY MER HISTORY g MER is a private Arizona Corporation started in 1985 by Drs. R.O.Loutfy

More information

The Intermaterial Dividing Surface (IMDS)

The Intermaterial Dividing Surface (IMDS) The Intermaterial Dividing Surface (IMDS) Can think of the microdomain structure as comprised of a set of surfaces that define the changeover in composition from Block A to Block B The IMDS in an AB diblock

More information

Supplementary Material (ESI) for Chemical Communications This journal is (c) The Royal Society of Chemistry 2009

Supplementary Material (ESI) for Chemical Communications This journal is (c) The Royal Society of Chemistry 2009 1. Materials: Styrene (St), methyl methacrylate (MMA) and acrylic acid (AA) (Lingfeng Chemical reagent Co. Ltd, Shanghai, China) were distilled and stored at 4 ºC if not used immediately, ammonium persulfate

More information

Small Angle Scattering - Introduction

Small Angle Scattering - Introduction Small Angle Scattering - Introduction Why scattering at small angles? Angle & size related thru Braggs law: λ = 2d sin θ Small Angle Scattering - Introduction Why scattering at small angles? Angle & size

More information