The SMART Process for Directed Block Co-Polymer Self-Assembly

Size: px
Start display at page:

Download "The SMART Process for Directed Block Co-Polymer Self-Assembly"

Transcription

1 Journal of Photopolymer Science and Technology Volume 26, Number 5 (2013) SPST The SMART Process for Directed Block Co-Polymer Self-Assembly Jihoon Kim*, Jingxiu Wan, Shinji Miyazaki, Jian Yin, Yi Cao, YoungJun Her, Hengpeng Wu, Jianhui Shan, Kazunori Kurosawa and Guanyang Lin* AZ Electronic Materials USA Corp., 70 Meister Avenue, Somerville, NJ 08876, USA AZ Electronic Materials (Korea) Ltd. #325-25, Boche-Ri, Miyang-Myeon, Anseong-Si, , KR AZ Electronic Materials (Japan) K.K., 3330 Chihama, Kakegawa, Shizuoka , JP *jihoon.kim@azem.com and guanyang.lin@azem.com A new process for directed block co-polymer self-assembly (DSA), AZ SMART, for high resolution line and space patterning was introduced. The SMART process started with photoresist trench patterns generated through common photolithographic processes on top of a thin crosslinked neutral layer. A reactive ion etching (RIE) process removed the neutral layer at bottom of the resist trenches and followed by a resist stripping step which completely removed the resist material and uncovered the neutral surface protected by the resist film during etching step. DSA performances of the resultant SMART chemical pre-patterns without or with extra pinning material brushing step were compared. Results indicated that pinning material enhanced chemical pre-pattern directing power for DSA performance. The chemical prepattern without pinning material provided well aligned DSA performance for some specific pre-pattern structure and DSA multiplication factor, but it lacked general performance stability. On the other hand, process with added pinning material was demonstrated with stable performance for variable pre-pattern pitches with different DSA multiplication factors. SMART DSA pattern profile and its pattern etching transfer into hard masks were investigated. Keywords: block co-polymer, directed self-assembly, BCP, DSA, poly(styrene-bmethylmethacrylate), PS-b-PMMA, AZ SMART 1. Introduction Block co-polymer directed self-assembly extends existing photolithography patterning capability without requirement of significant new infrastructure development. It has quickly emerged as one of the leading candidates for advanced integrated circuit (IC) pattern printing.[1-4] Directed self-assembly (DSA) uses block co-polymer (BCP) with blocks having distinctly different chemical properties which results in segregation among the different blocks and formation of domains containing same polymer blocks. For a bis-block co-polymer, when volume fraction of the two blocks is properly constructed, a set of different morphologies can be assembled. Morphologies particularly interesting to the IC industry are the cylinder and the lamellae since they provide opportunities for printing high density contact and line/space patterns, respectively, which are commonly used for IC device fabrication. Cylinder and lamellae BCP assemblies can be directed via graphoepitaxy [5-14] or chemoepitaxy [15-19]. For line/space patterns, due to higher density and potentially better final DSA pattern LER/LWR, chemoepitaxy DSA has been extensively investigated. There are two DSA chemoepitaxy schemes reported in literature. One is the Lift-off scheme reported by Cheng et al. at IBM [20] and the other the UW flow published by Liu et al. from University Wisconsin [21]. Both flows start with Received May 24, 2013 Accepted June 10,

2 isolated resist line patterns preferably generated by using positive tune development photolithography processes. In this publication, a novel new DSA flow, the AZ SMART (Surface Modification for Advanced Resolution Technology) flow, and its DSA performance were introduced. 2. Experimental 2.1. Materials Cross-linkable neutral material, AZEMBLY EXP NLD-175, brush pinning material AZEMBLY EXP NLD-226, poly(styrene-b-methylmethacrylate) (PS-b- PMMA) BCP and formulations of AZEMBLY EXP PME-053 with natural period of Lo 25.6nm, AZEMBLY EXP PME-190 (Lo 30nm), and resist stripper AZEMBLY EXP RSD-001 were all synthesized and formulated at AZ Electronic Materials. Propylene glycol monomethyl ether acetate (PGMEA) and n-butyl acetate (nba) were purchased from Sigma-Aldrich and used as received. 193nm immersion photoresist FAiRS M190 from FUJIFILM Electronic Materials was used for resist pattern formation. Spin-on Siantireflective coating (Si-ARC) SHB-9480 was a commercial product from Shin-Etsu Chemical Co., Ltd. and used as received Processes Resist nano-patterns were fabricated at facilities at IMEC, Leuven, Belgium. As shown in Figure 1, Si-ARC SHB-9480, 40nm, was spincoated on a 300 mm Si wafer on a Tokyo Electron Ltd. Clean Track ACT-12 and baked at 220 C for 90s. AZEMBLY EXP NLD-175 was coated with 7nm film thickness on top of the Si- ARC film and baked at 250 C in air for 2min, Figure 1-a. A 50nm photoresist film of FAiRS M190 was spin-coated on top of above substrate with a post-apply bake (PAB) of 100 C for 60s. Resist film pattern exposure was carried out on an ASML XT: 1950i scanner with NA of 1.35, dipole illumination σ ou t/σ in 0.76/0.66 and followed by a post exposure bake of 100 C for 60s and development by nba solvent for 30s to provide resist trench patterns with pitch of 90nm or 128nm depending on the mask pattern type using in the exposure step. The resist trench pattern critical dimensions (CD) rang from 20nm to 50nm depending on exposure dose applied (Fig. 1-b). The patterned wafer was then cut into small coupons for DSA studies. The coupon wafer was first subjected to an oxygen and argon (O 2 /Ar) plasma etching process (pressure: 50mtorr, 15sccm/O 2, 100sccm/Ar, power: 50W) to remove the NLD- 175 layer at bottom of resist trenches (Figure 1- c). A RIE-1701 Reactive Ion Etch Plasma system from Nordson MARCH was used. The etched wafer coupon was dipped into resist stripper RSD-001 at r.t for 15min to completely remove the resist material and uncover the NLD-175 neutral film surface which was protected by the resist film during the previous etching step. The wafer was then rinsed with nba or PGMEA and dried by blowing pressured air. A topographic chemical surface comprised of alternating NLD- 175 film stripes and shallow trenches, wherein Si-ARC film surface is at the bottom of the trenches, is formed (Figure 1-d). In some cases, this chemical surface could provide good DSA performance. However, for more stable DSA performance, AZEMBLY EXP NLD-226, a brush pinning material, was further spin-coated on the wafer and baked at 130 C for 120s (Figure 1-e). Fig. 1. Schematic of AZ SMART flow: a) substrate with cured AZEMBLY NLD-175 coating, b) resist trench pattern, c) after NLD-175 layer at bottom of trenches etching open, d) after resist stripping, e) after pinning material, AZEMBLY EXP NLD-226 coating and bake, f) after solvent rinsing to removal excess NLD-226, g) after coating PME and h) after PME film annealing. PGMEA or nba was used for rinsing off the excess NLD-226 coating and air blow dried. NLD-226 was designed with chemistry which has high reactivity towards Si-ARC surface but low reactivity with NLD-175 film surface. NLD- 226 is not self-crosslinkable. Therefore, after the NLD-226 film solvent rinse, NLD-226 material on top of the NLD-175 film surface was rinsed away. Only a monolayer of NLD-226 brush was 574

3 left into the trench patterns (Figure 1-f). AZEMBLY EXP PME formulation was spincoated (Figure 1-g) and annealed at 250 C for 5min in air (Figure 1-h). PMMA removal was done on a March RIE-1701 etcher with O 2 gas plasma. The resultant DSA pattern was inspected with a NanoSEM 3D from Applied Materials. DSA patterns were transferred into Si-ARC film through a plasma etching process conducted on a NE5000N etcher (ULVAC, Inc.) with CF 4 and He gas system. 3. Results and discussions 3.1. Negative tune development (NTD) process for small trench resist pattern Different from Lift-off and UW DSA schemes, AZ SMART DSA flow starts with resist trench patterns as shown in Figure 1. NTD process is widely used in IC device fabrication processes for high resolution contact and line/space pattern printing. NTD process provides advantages for small trench generation over positive tone development processes, in particular, for semi dense or isolated trench pattern printing. Figure 2 shows an example of very small trenchs, 29nm, generated by a 193nm immersion lithographic process using the NTD process. Trench CD: 29nm Fig. 2. High trench resolution via NTD processes (NA 1.35, dipole, σ out /σ in 0.76/0.66), resist pattern of 90nm pitch with 29 nm trench critical dimension AZ SMART pre-pattern structure optimization Given neutral and PME materials are well optimized, there are several key parameters affecting chemoepitaxy DSA performance: 1) commensurability of BCP Lo with chemical prepattern repeating pitch, 2) pinning line size, the trench width for AZ SMART, and 3) pinning line directing or pinning power. Chemical pre-pattern pattern repeating pitch was defined by photolithographic processes, which in general could be precisely controlled with very limited variation. BCP Lo can be finetuned through both BCP chemical synthesis and formulation optimizations. Since it is out of the scope of this publication, BCP Lo adjustment will not be discussed herein. Impacts of pinning feature size on DSA performance have been carefully studied. In general, in the lift-off process, its chemical prepattern has a topographical structure. The pinning stripe width, the trench CD, can vary between about half of BCP Lo to 1.5 times of BCP Lo without affecting BCP DSA performance [22]. On the other hand, in the UW flow, since its chemical pre-pattern has a flat surface, the pinning MAT width needs to be controlled to be less around 0.9x Lo and larger than 0.5x Lo in order to maintain a stable DSA performance. [23-24] In the AZ SMART flow, after resist stripping, a topographical trench structure as shown in Figure 1-d was fabricated. This structure is same type as the chemical prepattern from the Lift-off process except that the chemical natures of trench wall and bottom surfaces are different. In a lift-off process, the trench sidewalls are constructed by neutral material and the bottoms by substrate surfaces such as Si-ARC film. However, in a SMART process, although the trench sidewalls are also made of neutral material, in this case, the NLD- 175, the surfaces are interacted with plasma chemicals during the NLD opening etching process. Same interaction also incurs to the trench bottom surface. In fact, we tried coating BCP with proper Lo onto the SMART chemical structure right after resist stripping step. With proper control of the commensurability and the chemical pre-pattern trench size, well aligned DSA performance could be achieved as shown as an example in Figure 3-a. However, its repeatability was an issue with day to day and place to place variations, and very often, simple self-assembly fingerprint pattern was observed as illustrated by Figure 3-b SEM. We believe the instable performance mainly came from lack of control over the trench surfaces which provide pinning function and determine what surface energy should be on neutral material surface [25]. Etching plasma has complex chemical components. Slight difference in etching chamber pressure, gas mixing ratio or gas flow rate can affect plasma composition, 575

4 which in turn affects subtle surface properties of the etched substrates. To improve the performance stability, in particular, the pinning surface of the trench pattern in a SMART flow, a grafting pinning material, AZEMBLY EXP NLD-226 was developed. AZEMBLY EXP NLD-226 contains polymeric material with homopolystyrene block with a chain-end reactive a) Fig. 3. SMART DSA performance after resist stripping step. Good DSA performance was occationally achieved a), but simple fingerprint pattern was often produced as shown in b). functional group which reacts with inorganic substrate surface during a bake step to form stable chemical bond but has minimum interaction with the neutral surface of the NLD- 175 film. Figure 4-a summarized the water contact angles of the NLD-175 film and resulted surfaces after over coating NLD-226 onto NLD- 175 film followed by a bake and then solvent rinsing steps. Similar water contact angle data but for O 2 /Ar plasma treated Si-ARC films were presented in the Figure 4-b. Results revealed that NLD-226 could brush on etched Si-ARC surface with as low as 110ºC bake temperature. No further contact angle increase was observed when increasing the bake temperature. Bake time such as 2min and 5min made no difference on the resultant surface contact angle, indicating a fast grafting reaction between NLD-226 and treated Si-ARC surface. On the other hand, it required at least 160ºC bake temperature for NLD-226 to have partial reactivity with the NLD-175 film surface. In addition, even at high bake temperature such as 200 ºC, the resultant film contact angle (< 85 degree, Fig. 4-a) was still smaller than the contact angle on treated Si- ARC surface with bake temperature of 110 ºC, indicating there was only partial surface grafting for NLD-226 on NLD-175 surface. b) Fig. 4. CA measurement after NLD-226 coating bake, and solvent rinsing on a) NLD-175 film, and b) O 2 /Ar plasma treated Si-ARC film. NLD-226 brushing bake temperature influence on DSA performance was examined. As expected and summarized in Figure 5, higher bake temperatures, such as 170 ºC and 190 ºC, deteriorated the DSA performance and low NLD-226 brushing temperature at 150 ºC gave good DSA performance (see Figure 5-a). This observation was consistent with the contact angle study results that at high brushing temperatures such as 190 ºC, NLD-226 reacted with not only the treated Si-ARC surface, but also with NLD- 175 film surface. Grafting of NLD-226 on NLD- 175 film surface resulted in loss of neutrality, which in turn affected the pre-pattern DSA performance. At 150 ºC bake temperature, NLD- 226 reacted with the treated Si-ARC surface, but did not react with NLD-175 surface, therefore, a pre-pattern surface alternating with pinning and neutral stripes was formed, a foundation for good DSA performance. It is worthy of mention that although at temperature higher than 160 ºC, there were partial grafting of NLD-226 on NLD-175, some DSA performance could be obtained as shown in Figure 5-b, wherein the NLD-226 brushing temperature was 170 ºC. NLD-175 neutrality towards lamellar PS-b-PMMA BCP has wide window in term of its chemical composition. NLD-175 was an optimized 576

5 material for AZ SMART process. Uniform low density partial brushing of NLD-226 onto the film of NLD-175 does not completely damage NLD-175 neutrality in supporting a good DSA performance such in the case when the NLD-226 brushing temperature was at 170 ºC. Fig. 7. xsem of AZ SMART chemical pre-pattern structure a) before pinning material brushing and b) after pinning material brushing. c) Fig. 5. DSA performance of PME-053 (Lo 25.6 nm) vs. NLD-226 brushing temperature a) 150 C, b) 170 C, and c) 190 C for 2min. The selective reactivity of NLD-226 towards NLD-175 film and etched Si-ARC film was also examined by looking at cross section SEM at each step of the NLD-226 brushing processes. Figure 6-a is xsem of the structure after a 40nm NLD-226 coating and baking. There was a flat surface, indicating that the NLD-226 completely planarized the topographic surface. However, after solvent rinse, the topographic surface appeared again as shown in Figure 6-b. Carefully examining the Figure 6-b and comparing with the SEM picture after resist stripping step, the trench depth after NLD-226 brushing was apparently shallower than trench before the NLD-226 brushing step, indicating a NLD-226 layer was grafted inside the trench. Figure 7 presented a side by side comparison of those two xsems. Fig. 6. x-sem images after a) brushing material coating and baking and b) brushing material rinsing. Retention of the topographical structure after NLD-226 brushing process relaxes CD resolution requirement over the trench pinning pattern for well aligned DSA performance. Based on studies on impacts of pinning width on DSA performance, chemical pre-pattern with topographic trench pattern as a pinning spare can have good DSA performance with trench width CD up to 1.5x Lo. On the other hand, for a prepattern with flat surface, the pinning line CD need to be controlled to be less than 0.9x Lo. SMART DSA performance results were in agreement with those previous conclusions. As illustrated in Figure 8, good DSA performances were obtained based on a chemical pre-pattern with trench repeating pitch of 90nm and trench width of 45nm for AZEMBLY EXP PME-190 of Lo 30nm. Fig. 8. DSA performance of AZ SMART flow with chemical pre-pattern repeating pitch of 90nm and trench width of 45nm (3x pitch multiplication, BCP Lo 30nm. a) x-section and b) top-down SEM images 3.3 AZ SMART process stability We studied AZ SMART flow for different multiplication factors. Pre-pattern structures with pinning trench pitch of 90nm, 120nm and 128nm were prepared. AZEMBLY EXP PME-190 (Lo 30nm) were applied to the 90nm and 120nm prepattern wafer for 3x and 4x pitch multiplication DSA performance and the AZEMBLY EXP PME-053 (Lo 25.6nm) was applied to the 128nm pre-pattern wafer for 5x pitch multiplication DSA performance. As demonstrated in Figure 9, all practices gave well aligned DSA 577

6 performances, indicating SMART flow has stable DSA performance. We are now in process demonstrating its 12 wafer compatibility. c) Fig. 9. SEM images of DSA patterns a) 3x pitch multiplication with PME -190 (Lo 30nm), b) 4x pitch multiplication with PME-190 (Lo 30nm) and c) 5x pitch multiplication with PME-053 (Lo 25.6nm). To examine DSA pattern quality, DSA patterns of PME-190 (Lo 30nm) with 3x pitch multiplication was subjected to a RIE process to remove PMMA domains. Resultant pattern xsem was shown in Figure 10. It is seen that there was no 3-D network structure and all polystyrene (PS) lines were identical. No difference between PS line on top of neutral surface and pinning line surface. In fact, from the image, we cannot tell where trench and neutral surface were located. Fig. 10. SEM images after PMMA removal by dry etching. The image (b) is magnified image of (a). Fig. 11. SEM images of a) DSA PS line patterns after PMMA removal, and b) patterns of Si-ARC film after pattern transfer via RIE etching. We further pursued dry etching for pattern transfer into underlying Si-ARC layer with DSA polystyrene (PS) lines as masks. As shown in Figure 11, the pattern transfer preceded in parallel at all locations. The NLD-226 brushed trenches and NLD-175 film stripes below the DSA PS lines at different location did not affect etching pattern transfer uniformity. 4. Conclusions AZ SMART DSA flow starts with resist trench patterns, taking the advantages of high resolution patterning capability by NTD photolithographic processes. The flow for DSA line and space patterns were well demonstrated with good stability for multiple pitch multiplication factors. SMART flow utilizes lithographic processes commonly used in IC fabrications. The pinning material brushing process enables SMART to be substrate independent for stable DSA performance. AZ SMART flow should be easy to be implemented in IC industry. Acknowledgements We extend our gratitude to Tom Polson, Karen Dempkowski, Charles Hubka, Victor Monreal and Mike Healy for assisting SEM characterization and AZ Fab engineers for their support. Authors also would like to thank Durairaj Baskaran and Sung Eun Hong for their expert discussions. References 1. I.T.R.S., Lithography, links/2010itrs/home2010.htm (2010). 2. C. T. Black, R. Ruiz, G. Breyta, J. Y. Cheng, M. E. Colburn, K. W. Guarini, H.-C. Kim, Y. Zhang, IBM J. Res. Dev., 51( 2007) J. Y. Cheng, C. A. Ross, H. I. Smith, E. L. Thomas, Adv. Mater., 18 (2006) M. P. Stoykovich, P. F. Nealey, Mater.Today, 9 (2006) R. A. Segalman, H. Yokoyama, E. J. Kramer, Adv. Mater., 13 (2001) R. Ruiz, N. Ruiz, Y. Zhang, R. L. Sandstrom, C. T. Black, Adv. Mater., 19 (2007) J. Y. Cheng, A. M. Mayes, C. A. Ross, Nat. Mater., 3 (2004) J. Y. Cheng, C. A. Ross, E. L. Thomas, H. I. Smith, G. J. Vansco, Appl. Phys. Lett., 81 (2002)

7 9. D. Sundrani, S. B. Darling, S. J. Sibener, Nano Lett., 4 (2004) S. Xiao, X. M. Yang, E. W. Edwards, Y. H. La, P. F. Nealey, Nanotechnology, 16 (2005) S. Park, M. P. Stoykovich,R. Ruiz, Y. Zhang, C. T. Black, P. F. Nealey, Adv. Mater., 19 (2007) Y. S. Jung, C. A. Ross, Nano Lett., 7 (2007) S.-J. Jeong, J. E. Kim, H.-S. Moon, B. H. Kim, S. M. Kim, J. B. Kim, S. O. Kim, Nano Lett., 9 (2009) R. Gronheid, P. A. R. Delgadillo, T. R. Younkin, I. Pollentier, M. Somervell, J. S. Hooge, K. Nafus, P. F. Nealy, J. Micro/Nanolith. MEMS MOEMS., 11(2012) E. W. Edwards, M. F. Montague, H. H. Solak, C. J. Hawker, P. F. Nealey, Adv. Mater., 16 (2004) M. P. Stoykovich, M. Muller, S. O. Kim, H. H. Solak, E. W. Edwards, J. J. de Pablo, P. F. Nealey, Science, 308 (2005) E. W. Edwards, M. P. Stoykovich, H. H. Solak, P. F. Nealey, Macromolecules, 39 (2006) E. W. Edwards, M. Muller, M. P. Stoykovich, H. H. Solak, J. J. depablo, P. F. Nealey, Macromolecules, 40 (2007) L. Wan, R. Ruiz, H. Gao, K. C. Patel, J. Lille, G. Zeltzer, E. A. Dobisz, A. Bogdanov, P. F. Nealy, T. R. Albrecht, J. Micro/Nanolith. MEMS MOEMS. 11 (2012) J. Y. Cheng, D. P. Sanders, H. D. Truong, S. Harrer, A Friz,S Holmes, M. Colburn, W. D. Hinsberg, ACS Nano., 4 (2010) C. C. Liu, E. Han, M. S. Onses, C. J. Thode, S. Ji, P. Gopalan, and P. F. Nealey, Macromolecules, 44 (2011) J. Cheng, D. Sanders, J. Pitera, M. Jjio, H. Throung, A. Chunder, et al., Proceedings of SPIE, Vol (2011) 23. P. A. R. Delgadillo, R. Gronheid, C. J. Thode, H. Wu, Y. Cao, M. Neisser, et al., J. Micro/Nanolith. MEMS MOEMS., 11(2012) C. C. Liu, P. Nealey, A. K. Raub, P. J. Hakeem, S. R. J. Brueck, J. Vac. Sci. Technol. B, 28 (2010) C6B C. C. Liu, P. Nealey, Wisconsin paper SEMATECH workshop (2010) 579

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Supporting Online Material Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Mark P. Stoykovich, 1 Marcus Müller, 2 Sang Ouk Kim, 1* Harun H. Solak, 3 Erik W. Edwards,

More information

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano,

More information

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations 8290 Macromolecules 2010, 43, 8290 8295 DOI: 10.1021/ma101360f Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations Rafal A. Mickiewicz,, Joel K.

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

Resist material for negative tone development process

Resist material for negative tone development process Resist material for negative tone development process FUJIFILM Corporation Electronic Materials Research Laboratories P-1 Outline 1. Advantages of negative tone imaging for DP 2. Process maturity of negative

More information

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2004

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2004 Supporting Information for Angew. Chem. Int. Ed. Z53009 Wiley-VCH 2004 69451 Weinheim, Germany Shear Patterning of Microdominos: A New Class of Procedures for Making Micro- and Nanostructures ** Byron

More information

Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification

Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification Self-Assembly Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification Bong Hoon Kim, Kyeong-Jae Byeon, Ju Young Kim, Jinseung Kim, Hyeong Min Jin, Joong-Yeon Cho, Seong-Jun Jeong,

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Process window analysis for contact hole shrinking: A simulation study

Process window analysis for contact hole shrinking: A simulation study Process window analysis for contact hole shrinking: A simulation study Andreas Erdmann 1, Przemysław Michalak 1, Mohamed Ismail 1,2, Tim Fühner 1, Maxime Argoud 3, Raluca Tiron 3, Ahmed Gharbi 3, Juan

More information

Eliminating the Trade-Off between the Throughput and Pattern Quality of Sub-15 nm Directed Self-Assembly via Warm Solvent Annealing

Eliminating the Trade-Off between the Throughput and Pattern Quality of Sub-15 nm Directed Self-Assembly via Warm Solvent Annealing Eliminating the Trade-Off between the Throughput and Pattern Quality of Sub-15 nm Directed Self-Assembly via Warm Solvent Annealing Jong Min Kim, YongJoo Kim, Woon Ik Park, Yoon Hyung Hur, Jae Won Jeong,

More information

Tilted ion implantation as a cost-efficient sublithographic

Tilted ion implantation as a cost-efficient sublithographic Tilted ion implantation as a cost-efficient sublithographic patterning technique Sang Wan Kim 1,a), Peng Zheng 1, Kimihiko Kato 1, Leonard Rubin 2, Tsu-Jae King Liu 1 1 Department of Electrical Engineering

More information

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Dry Etching Zheng Yang ERF 3017,   MW 5:15-6:00 pm Dry Etching Zheng Yang ERF 3017, email: yangzhen@uic.edu, MW 5:15-6:00 pm Page 1 Page 2 Dry Etching Why dry etching? - WE is limited to pattern sizes above 3mm - WE is isotropic causing underetching -

More information

Kim, Sang Ouk

Kim, Sang Ouk Directed Block Copolymer Assembly Integrated with Conventional ArF or I-line lithography Kim, ang Ouk (sangouk.kim@kaist.ac.kr) oft Nanomaterials Laboratory (http://snml.kaist.ac.kr) Department of Materials

More information

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process Mandar Bhave, Kevin Edwards, Carlton Washburn Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO 65401,

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography

An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Supporting Information An Optimal Substrate Design for SERS: Dual-Scale Diamond-Shaped Gold Nano-Structures Fabricated via Interference Lithography Hyo-Jin Ahn a, Pradheep Thiyagarajan a, Lin Jia b, Sun-I

More information

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using

Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Supporting Information Formation and Surface Modification of Nanopatterned Thiol-ene Substrates using Step and Flash Imprint Lithography Vaibhav S. Khire, 1 Youngwoo Yi, 2 Noel A. Clark, 2 and Christopher

More information

Proximity Injection of Plasticizing Molecules to Self-Assembling Polymers for Large-Area, Ultrafast Nanopatterning in the Sub-10-nm Regime

Proximity Injection of Plasticizing Molecules to Self-Assembling Polymers for Large-Area, Ultrafast Nanopatterning in the Sub-10-nm Regime Proximity Injection of Plasticizing Molecules to Self-Assembling Polymers for Large-Area, Ultrafast Nanopatterning in the Sub-10-nm Regime ARTICLE Jae Won Jeong, Yoon Hyung Hur, Hyeong-jun Kim, Jong Min

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Electron-beam SAFIER process and its application for magnetic thin-film heads

Electron-beam SAFIER process and its application for magnetic thin-film heads Electron-beam SAFIER process and its application for magnetic thin-film heads XiaoMin Yang, a) Harold Gentile, Andrew Eckert, and Stanko R. Brankovic Seagate Research Center, 1251 Waterfront Place, Pittsburgh,

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

Supplementary Information. High-Performance, Transparent and Stretchable Electrodes using. Graphene-Metal Nanowire Hybrid Structures

Supplementary Information. High-Performance, Transparent and Stretchable Electrodes using. Graphene-Metal Nanowire Hybrid Structures Supplementary Information High-Performance, Transparent and Stretchable Electrodes using Graphene-Metal Nanowire Hybrid Structures Mi-Sun Lee, Kyongsoo Lee, So-Yun Kim, Heejoo Lee, Jihun Park, Kwang-Hyuk

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA

Study of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer Science, Inc Brewer Dr., Rolla, MO 65401, USA tudy of Iso/Dense Bias of BARCs and Gap-Fill Materials on Via Wafers Runhui Huang, Brewer cience, Inc. 241 Brewer Dr., Rolla, MO 6541, UA ABTRACT The topography of a back-end wafer contains high-aspect

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION

SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS INTRODUCTION SURFACE TENSION POWERED SELF-ASSEMBLY OF 3D MOEMS DEVICES USING DRIE OF BONDED SILICON-ON-INSULATOR WAFERS R.R.A Syms, C. Gormley and S. Blackstone Dept. of Electrical and Electronic Engineering, Imperial

More information

Imaging Polymer Morphology Using Atomic Force Microscopy

Imaging Polymer Morphology Using Atomic Force Microscopy Imaging Polymer Morphology Using Atomic Force Microscopy Russell J. Composto Materials Science and Engineering, and the Laboratory for Research on the Structure of Matter, University of Pennsylvania Agilent

More information

High intrinsic carrier mobility,

High intrinsic carrier mobility, Epitaxial Graphene Nanoribbon Array Fabrication Using BCP-Assisted Nanolithography Guanxiong Liu,, Yanqing Wu, Yu-Ming Lin, Damon B. Farmer, John A. Ott, John Bruley, Alfred Grill, Phaedon Avouris, Dirk

More information

LECTURE 5 SUMMARY OF KEY IDEAS

LECTURE 5 SUMMARY OF KEY IDEAS LECTURE 5 SUMMARY OF KEY IDEAS Etching is a processing step following lithography: it transfers a circuit image from the photoresist to materials form which devices are made or to hard masking or sacrificial

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Pattern Transfer- photolithography

Pattern Transfer- photolithography Pattern Transfer- photolithography DUV : EUV : 13 nm 248 (KrF), 193 (ArF), 157 (F 2 )nm H line: 400 nm I line: 365 nm G line: 436 nm Wavelength (nm) High pressure Hg arc lamp emission Ref: Campbell: 7

More information

ETCHING Chapter 10. Mask. Photoresist

ETCHING Chapter 10. Mask. Photoresist ETCHING Chapter 10 Mask Light Deposited Substrate Photoresist Etch mask deposition Photoresist application Exposure Development Etching Resist removal Etching of thin films and sometimes the silicon substrate

More information

PROCEEDINGS OF SPIE. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures

PROCEEDINGS OF SPIE. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures

More information

Supporting Information

Supporting Information Supporting Information Real-Time Monitoring of Insulin Using a Graphene Field-Effect Transistor Aptameric Nanosensor Zhuang Hao, a,b Yibo Zhu, a Xuejun Wang, a Pavana G. Rotti, c,d Christopher DiMarco,

More information

Overview of the main nano-lithography techniques

Overview of the main nano-lithography techniques Overview of the main nano-lithography techniques Soraya Sangiao sangiao@unizar.es Outline Introduction: Nanotechnology. Nano-lithography techniques: Masked lithography techniques: Photolithography. X-ray

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Supporting Information A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics Tej B. Limbu 1,2, Jean C. Hernández 3, Frank Mendoza

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Current Status of Inorganic Nanoparticle Photoresists

Current Status of Inorganic Nanoparticle Photoresists Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Supporting Information

Supporting Information Supporting Information Topographically-Designed Triboelectric Nanogenerator via Block Copolymer Self- Assembly Chang Kyu Jeong,, Kwang Min Baek,, Simiao Niu, Tae Won Nam, Yoon Hyung Hur, Dae Yong Park,

More information

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photolithography Overview  9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview http://www.intel.com/research/silicon/mooreslaw.htm 1 Moore s law only holds due to photolithography advancements in reducing linewidths 2 All processing to create electric components and circuits rely

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process MEEN 489-500 Nanoscale Issues in Manufacturing Lithography Lecture 1: The Lithographic Process 1 Discuss Reading Assignment 1 1 Introducing Nano 2 2 Size Matters 3 3 Interlude One-The Fundamental Science

More information

Carrier Transport by Diffusion

Carrier Transport by Diffusion Carrier Transport by Diffusion Holes diffuse ÒdownÓ the concentration gradient and carry a positive charge --> hole diffusion current has the opposite sign to the gradient in hole concentration dp/dx p(x)

More information

IC Fabrication Technology

IC Fabrication Technology IC Fabrication Technology * History: 1958-59: J. Kilby, Texas Instruments and R. Noyce, Fairchild * Key Idea: batch fabrication of electronic circuits n entire circuit, say 10 7 transistors and 5 levels

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure CMRR Report Number 32, Summer 2009 Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure Edward Chulmin Choi, Daehoon Hong, Young Oh, Leon Chen, Sy-Hwang

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry

Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry Dhairya J. Dixit Vimal Kamineni Richard Farrell Erik R. Hosler Moshe Preil Joseph Race Brennan Peterson

More information

Supporting information

Supporting information Electronic Supplementary Material (ESI) for New Journal of Chemistry. This journal is The Royal Society of Chemistry and the Centre National de la Recherche Scientifique 2018 Supporting information Eco-friendly

More information

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography February 26, 2009 Shinji Tarutani FUJIFILM Corporation Research & Development Management Headquarters Electronic Materials Research

More information

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI 2010.5.4 1 Major Fabrication Steps in CMOS Process Flow UV light oxygen Silicon dioxide Silicon substrate Oxidation (Field oxide) photoresist Photoresist Coating Mask exposed photoresist Mask-Wafer Exposed

More information

Thin Wafer Handling Challenges and Emerging Solutions

Thin Wafer Handling Challenges and Emerging Solutions 1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA 2 Thin

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,500 108,000 1.7 M Open access books available International authors and editors Downloads Our

More information

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION

TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION TEMPLATE AFFINITY ROLE IN CONTACT SHRINK BY DSA PLANARIZATION A. Gharbi, R. Tiron, M. Argoud, P. Pimenta Barros, S. Bos, G. Chamiotmaitral, I. Servin, A. Fouquet, J. Hazart (CEA-LETI) X. Chevalier, C.

More information

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks Hongseong Sohn and John Tracy Akrion Systems 6330 Hedgewood Drive, Suite 150 Allentown, PA 18106, USA Abstract

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center Functional Materials for Advanced Patterning Robert D. Allen Business Unit or Product Name IBM Almaden Research Center 2003 IBM Corporation Resists/Materials for Advanced Patterning Trends in Lithography

More information

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots S. F. Hu a) National Nano Device Laboratories, Hsinchu 300, Taiwan R. L. Yeh and R. S. Liu Department of Chemistry, National

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington EE 527 MICROFABRICATION Lecture 25 Tai-Chang Chen University of Washington ION MILLING SYSTEM Kaufmann source Use e-beam to strike plasma A magnetic field applied to increase ion density Drawback Low etch

More information

Organization of Block Copolymers using NanoImprint Lithography: Comparison of Theory and Experiments

Organization of Block Copolymers using NanoImprint Lithography: Comparison of Theory and Experiments pubs.acs.org/macromolecules Organization of Block Copolymers using NanoImprint Lithography: Comparison of Theory and Experiments Xingkun Man, David Andelman,*, Henri Orland, Pascal Thebault, Pang-Hung

More information

Nanoimprint Lithography

Nanoimprint Lithography Nanoimprint Lithography Wei Wu Quantum Science Research Advanced Studies HP Labs, Hewlett-Packard Email: wei.wu@hp.com Outline Background Nanoimprint lithography Thermal based UV-based Applications based

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, and E. Gogolides a) Institute of Microelectronics,

More information

Supplementary Information

Supplementary Information Supplementary Information Plasma-assisted reduction of graphene oxide at low temperature and atmospheric pressure for flexible conductor applications Seung Whan Lee 1, Cecilia Mattevi 2, Manish Chhowalla

More information

Development of Lift-off Photoresists with Unique Bottom Profile

Development of Lift-off Photoresists with Unique Bottom Profile Transactions of The Japan Institute of Electronics Packaging Vol. 8, No. 1, 2015 [Technical Paper] Development of Lift-off Photoresists with Unique Bottom Profile Hirokazu Ito, Kouichi Hasegawa, Tomohiro

More information

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices 1 UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices Katsuya Watanabe

More information

Direct write electron beam patterning of DNA complex thin films

Direct write electron beam patterning of DNA complex thin films Direct write electron beam patterning of DNA complex thin films R. A. Jones, W. X. Li, H. Spaeth, and A. J. Steckl a Nanoelectronics Laboratory, University of Cincinnati, Cincinnati, Ohio 45221-0030 Received

More information

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography MCROU,!~'O~C ELSEVER Microelectronic Engineering 46 (1999) 173-177 Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography DUV Holographic L. A. Wang*, C. H. Lin and J. H. Chen nstitute

More information

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution

Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Supporting Information Selective Manipulation of Molecules by Electrostatic Force and Detection of Single Molecules in Aqueous Solution Zhongbo Yan, Ming Xia, Pei Zhang, and Ya-Hong Xie* Department of

More information

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited (12) United States Patent USOO7144673B2 (10) Patent No.: US 7,144.673 B2 Chen et al. (45) Date of Patent: Dec. 5, 2006 (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited PROCESS FOR HIGH DOSAGE

More information

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Simulation and characterization of surface and line edge roughness in photoresists before and after etching Simulation and characterization of surface and line edge roughness in photoresists before and after etching Motivation of this work : Sub 100nm lithographic features often suffer from roughness. Need to

More information

100 nm period gratings produced by lithographically induced self-construction

100 nm period gratings produced by lithographically induced self-construction INSTITUTE OFPHYSICS PUBLISHING Nanotechnology 14 (2003) 786 790 NANOTECHNOLOGY PII: S0957-4484(03)55891-3 100 nm period gratings produced by lithographically induced self-construction Xinya Lei, Lin Wu,

More information

Passionately Innovating With Customers To Create A Connected World

Passionately Innovating With Customers To Create A Connected World Passionately Innovating With Customers To Create A Connected World Multi Die Integration Can Material Suppliers Meet the Challenge? Nov 14, 2012 Jeff Calvert - R&D Director, Advanced Packaging Technologies

More information

Important challenge for the extension of Spacer DP process

Important challenge for the extension of Spacer DP process Important challenge for the extension of Spacer DP process H. Yaegashi Tokyo Electron Limited Leading-edge Process development center Kobe, JAPAN 21 October 2010 1 Outline Background Lithographic scaling

More information

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Improving resist resolution and sensitivity via electric-field enhanced postexposure baking Mosong Cheng, a) Lei Yuan, Ebo Croffie, and Andrew Neureuther Electronics Research Laboratory, University of

More information

World-wide Standardization Effort on Leaching Measurement Methodology

World-wide Standardization Effort on Leaching Measurement Methodology World-wide Standardization Effort on Leaching Measurement Methodology Roel Gronheid 1, Christina Baerts 1, Stefan Caporale 2, Jim Alexander 2, Ben Rathsack 3, Steven Scheer 3, Katsumi Ohmori 4, Bryan Rice

More information

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes

Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Stretchable Graphene Transistors with Printed Dielectrics and Gate Electrodes Seoung-Ki Lee, Beom Joon Kim, Houk Jang, Sung Cheol Yoon, Changjin Lee, Byung Hee Hong, John A. Rogers, Jeong Ho Cho, Jong-Hyun

More information

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas Integrated Ferroelectrics, 90: 95 106, 2007 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580701249371 Inductively Coupled Plasma Reactive Ion Etching

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for Supporting Information for Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for High-Performance Photodetector Zhenjun Tan,,ǁ, Yue Wu,ǁ, Hao Hong, Jianbo Yin, Jincan Zhang,, Li Lin, Mingzhan

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Direct Visualization of Large-Area Graphene Domains and Boundaries by Optical Birefringency Dae Woo Kim 1,*, Yun Ho Kim 1,2,*, Hyeon Su Jeong 1, Hee-Tae Jung 1 * These authors contributed equally to this

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 5 MICRO AND NANOPROCESSING TECHNOLOGIES Introduction Ion lithography X-ray lithography Soft lithography E-beam lithography Concepts and processes Lithography systems Masks and resists Chapt.9.

More information

Etching Capabilities at Harvard CNS. March 2008

Etching Capabilities at Harvard CNS. March 2008 Etching Capabilities at Harvard CNS March 2008 CNS: A shared use facility for the Harvard Community and New England CNS Provides technical support, equipment and staff. Explicitly multi-disciplinary w/

More information

ALIGNED CARBON NANOTUBES FOR MULTIFUNCTIONAL NANOCOMPOSITES AND NANODEVICES:

ALIGNED CARBON NANOTUBES FOR MULTIFUNCTIONAL NANOCOMPOSITES AND NANODEVICES: ALIGNED CARBON NANOTUBES FOR MULTIFUNCTIONAL NANOCOMPOSITES AND NANODEVICES: Multicomponent Micropatterned Aligned Carbon Nanotube Devices with Reversibly Switchable Electronic Properties for Multifunctional

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer

More information

Magnetic Data Storage with Patterned Media

Magnetic Data Storage with Patterned Media f r e e d o m t o i n n o v a t e f r e e d o m t o i n n o v a t e Magnetic Data Storage with Patterned Media Neil Robertson Hitachi Global Storage Technologies San Jose Research Center Sept 08 1 Technology

More information

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node Takahiro Kozawa and Seiichi Tagawa The Institute of Scientific and Industrial Research, Osaka University, 8-1

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 1258 2010 Materials Research Society 1258-Q14-02 Realization of silicon nanopillar arrays with controllable sidewall profiles by holography lithography and a novel single-step

More information

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE RADIALLY ORDERED BIT PATTERNED MEDIA USING A COMBINATION OF NANOIMPRINT LITHOGRAPHY AND SELF-ASSEMBLY AND FABRICATION OF PATTERN MEDIA

More information