Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns

Size: px
Start display at page:

Download "Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns"

Transcription

1 Block Copolymer Nanolithography: Translation of Molecular Level Control to Nanoscale Patterns By Joona Bang, Unyong Jeong, Du Yeol Ryu, Thomas P. Russell,* and Craig J. Hawker* The self-asembly of block copolymers is a promising platform for the "bottomup" fabrication of nanostructured materials and devices. This review covers some of the advances made in this field from the laboratory setting to applications where block copolymers are in use. 1. Introduction A grand challenge for the semiconductor industry is to develop nanofabrication processes that can allow the fabrication of nanoscopic features with reduced cost and greater functionality. In current semiconductor processes, top-down photolithographic procedures are well established and highly optimized. However, with the rapid progress in system requirements, driven in part by Moore s law, the progress of conventional photolithographic techniques to sub-30 nm features is problematic due to the inherent limitation of optical diffraction and the wavelength light sources. To overcome these challenges, bottom-up approaches using self-organizing materials have been proposed as a complementary process to advanced photolithography. [1 15] Among several possible strategies, block copolymers (BCPs) have received considerable attention, due to the inherent processing advantages. For example, the self-assembly of BCPs can lead to [*] Prof. C. J. Hawker Materials Research Laboratory University of California Santa Barbara, CA (USA) hawker@mrl.ucsb.edu Prof. T. P. Russell Department of Polymer Science and Engineering University of Massachusetts Amherst, MA (USA) russell@mail.pse.umass.edu Prof. J. Bang Department of Chemical and Biological Engineering Korea University Seoul (Korea) Prof. U. Jeong Department of Materials Science and Engineering Yonsei University Seoul (Korea) Prof. D. Y. Ryu Department of Chemical Engineering Yonsei University Seoul (Korea) DOI: /adma various nanoscopic structures, like spheres, cylinders, bicontinuous gyroids, and lamellae, depending on the composition and chain architecture of the BCPs. The resulting sizes of the microdomains range from 5 to 50 nm, and are readily tunable by varying the molecular weights of the BCPs using living polymerization techniques that have received considerable recent focus. In addition, the underlying physics of BCPs in bulk and thin film have been well established and the mechanical properties of BCP thin films are comparable to those of conventional polymer photoresists. As a result, BCP lithography is compatible with current manufacturing conditions. In order to achieve a viable process with potential in areas beyond microelectronics, significant effort has been devoted to understanding the behavior of BCP thin films. [1 15] The initial scientific and technical issue was how to control the orientation and lateral ordering of microstructures in thin films which exhibit different properties to the well studied bulk materials. Although the phase behavior of BCPs in bulk is dictated by the Flory Huggins segmental interaction parameter, x, and the volume fraction of blocks, f, boundary conditions, such as the commensurability between the period of the BCP and the film thickness, the interfacial interactions between both blocks and the substrate, and the surface energies of the blocks, place additional constraints on the morphologies in thin films. [16 19] In most systems, there are preferential interactions between the blocks and the interfaces (top free surface and bottom substrate), resulting in a parallel orientation of microdomains. For symmetric AB-type BCPs, there are two situations symmetric and antisymmetric wetting. [16 19] For symmetric wetting, one block wets both the interfaces, while different blocks preferential interact with one of the interface in the case of antisymmetric wetting. In the case of antisymmetric wetting, the film thickness, t, is commensurate with the period of the BCP, L o, when t ¼ (n þ 0.5)L o, while for symmetric wetting, t ¼ nl o. If the film thickness is incommensurate, i.e., does not satisfy these conditions, then discrete topographic features are observed on the surface, i.e., islands or holes, where the step height is L o. As will be discussed in the following sections, many strategies have been developed to manipulate interfacial interactions, to obtain a specific orientation and/or lateral ordering of the BCP microdomains. The field has progressed rapidly in the last 5 years with the BCP-based technologies being brought into manufacturing, resulting in commercial applications. Recently, IBM announced the first-ever application of a breakthrough selfassembling nanotechnology to conventional chip manufactur- Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4769

2 ing, in which air gap insulators are fabricated using nanoporous templates derived from the self-assembly of poly(styreneb-methyl methacrylate) (PS-b-PMMA) BCPs. [20] Although it is the first example of an industrial application of BCP lithography, it certainly demonstrates the potential for use of BCPs in conjunction with traditional photolithography, as well as, in other non-semiconductor applications. This review is organized as following. First, various approaches to control the orientation of BCP microdomains is discussed in Section 2. The most well-studied strategies, surface modification by random copolymers and solvent annealing procedures, are described in Sections 2.1 and 2.2, respectively, and alternative approaches (e.g., applying electric fields, rough surfaces, etc.) are reviewed in Section 2.3. Section 3 focuses on controlling lateral ordering of the microdomains, using techniques, like graphoepitaxy (Section 3.1), chemically patterned substrates (Section 3.2), shear flow, directional crystallization, zone casting, etc. (Section 3.3). Finally, Section 4 deals with the template fabrication of nanostructures from BCP thin films and their uses. The selective decoration of inorganic nanoparticles and nanowires in one microdomain in thin BCP films is reviewed in Section 4.1 and the etching-mediated pattern transfers to the underlying substrate is discussed in Section 4.2. The applications of BCP lithography including electronic devices, biological uses, and membranes are covered in Section 4.3 and this review article finishes with an outlook for BCP patterning and lithography in the future. 2. Orientation Controlling the orientation of the microdomains is essential for specific applications. Some applications, for example, diffusion barriers or line transfer to a substrate, require lamellar and cylindrical microdomains to be oriented parallel to the substrate, while nanoporous filters or hole or postpattern transfer, require the microdomains to be oriented normal to the surface. The orientation of the microdomain parallel to the substrate is the rule, as opposed to the exceptions. In general, one of the blocks of the BCP will interact preferentially with the substrate and/or will have a lower surface energy. Consequently, one of the blocks will be preferentially located at the surfaces which will force an orientation of the microdomains parallel to the surface. Orienting the microdomains normal to the surface on the other hand, requires overcoming these preferential interactions. A number of strategies will be discussed that have been developed to control the orientation of cylindrical and lamellar microdomains in BCP thin films Surface Modification via Random Copolymers When thin films of BCPs are thermally annealed above the glass transition temperature, the resulting morphology is governed by preferential interactions at the surfaces, i.e., polymer/substrate (bottom) and polymer/free surface (top). For cylindrical and lamellar microstructures, the preferential interaction of one block with either surface leads to an orientation in which the long axis is aligned parallel to the substrate. A classic example is found for PS-b-PMMA BCPs, where cylindrical microdomains of PMMA have a preferential interaction with the oxide layers on a silicon Joona Bang received his Ph.D. degree in Chemical Engineering from University of Minnesota, USA in 2004 on block copolymer physics. He worked as a postdoctoral fellow on polymer chemistry and polymer thin films in Prof. Hawker s group at the University of California, Santa Barbara, USA. Since 2006, he has been at Korea University as an assistant professor in Chemical Engineering. His research interests include self-assembly of block copolymers in solutions and thin films, living free radical polymerization, and organic/inorganic nanocomposites and their applications. Craig J. Hawker is currently the Director of the Materials Research Laboratory at the University of California, Santa Barbara, where he is also a Professor in the Materials, Chemistry and Biochemistry departments. He completed a Ph.D. in 1988 at Cambridge University, USA (supervisor Professor A.R. Battersby). He undertook a postdoctoral fellowship with Prof. Jean Fréchet at Cornell University from 1988 to 1990 and then returned to the University of Queensland as a Queen Elizabeth II Fellow from 1991 to 1993 before spending 12 years as a research staff member at the IBM Almaden Research Centre. Thomas P. Russell, the Silvio O. Conte Distinguished Professor of Polymer Science and Engineering, received his Ph.D. in 1979 in Polymer Science and Engineering from the University of Massachusetts Amherst. He was a Research Staff Member at the IBM Almaden Research Center in San Jose, CA ( ) and became a Professor of Polymer Science and Engineering at the University of Massachusetts Amherst (1997). He is the Director of the Materials Research Science and Engineering Center on Polymers and an Associate Editor of Macromolecules. substrate, which results in an orientation of the cylindrical microdomains parallel to the surface. [16 18] Since an orientation of cylindrical or lamellar microdomains is necessary for some applications, significant effort has been devoted to controlling the orientation of the microdomains in PS-b-PMMA. It should 4770 ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

3 be noted that PS-b-PMMA serves only as an example, since the principles underlying the control in the orientation of the microdomains in this system are applicable to other BCPs. To overcome this preferential orientation, the most popular approach is to control the polymer/substrate interaction by modifying the substrate using PS-r-PMMA random copolymers. Over a decade ago, Russell and Hawker first introduced this strategy through the synthesis and anchoring of well-defined hydroxyl terminated PS-r-PMMA random copolymer onto the silicon substrate, producing random copolymer brushes. [19,21 24] By adjusting the composition of styrene and MMA in the PS-r-PMMA, it was demonstrated by homopolymer dewetting experiments that the interfacial interactions of both blocks are the same when the ratio of styrene/mma in the random copolymer is 58/42. [22] Hence, it has been believed that the surface is then neutralized, and the balanced interaction of both the PS and PMMA blocks with the neutral surface leads to the perpendicular orientation of microstructures. [25,26] More recently, the microdomain orientation of cylinder- and lamellar-forming PS-b-PMMA BCPs was extensively examined as a function of film thickness and the composition of PS-r-PMMA random copolymers. From the thickness window that exhibits the perpendicular orientation of BCP microdomains, the optimal condition for the cylindrical microdomain was found at 0.64 of PS mole fraction (X PS ) with composition range from 0.52 to 0.72 of X PS. For the lamellar microdomain, it was observed at X PS ¼ 0.55 with the thickness window ranging from 0.48 to 0.78 of X PS. [27] Although it is not specifically emphasized in the literatures, the optimal molecular weight of the random copolymer is g mol 1, which gives a grafting density of 3nm 2 chain 1 (thickness of anchored polymer brushes is typically 5 8 nm). [22] Onto this surface, a thin film of PS-b-PMMA BCP is thermally annealed to produce the PMMA cylindrical microdomains oriented normal to the surface. After the thin films were exposed to UV radiation, the PMMA block is degraded and the PS matrix is crosslinked. Upon removing the decomposition products with acetic acid, nanoporous crosslinked PS templates are produced. This process is schematically outlined in Figure 1. Subsequently, Gopalan and coworkers reported that PS-r-PMMA random copolymers incorporating a small number of hydroxyethyl methacrylate residues along the backbone can also neutralize the surface. Due to the multi-point attachment of side groups, the processing time for surface modification was significantly reduced to three hours on going from the original chain end modified random copolymer to a backbone functionalized derivative. [28] From this early work, nanoporous templates derived from PS-b-PMMA have found wide applications in many fields, including microelectronics, and the fabrication conditions for this system have been optimized. [25] As to the thickness of films, it was found that one repeat period of the microstructure is an optimal thickness for PS-b-PMMA thin films to form a well ordered template (Fig. 1c). If films are too thin, the films show poor ordering with a large distribution of pore sizes (Fig. 1b), while elongated pores (or parallel cylinders) are observed for thicker films (Fig. 1d). The annealing time and temperature have also optimized and for PS-b-PMMA with cylindrical PMMA microdomains where the molecular weight of the BCP is g mol 1, a well-ordered film was obtained after annealing only 1 h at 180 8C, while 24 h were required at 165 8C. In general, the annealing conditions can be understood by considering the relation p between ffi the polymer mobility and the molecular weight, L D / t =N e C=T, where L D, t, N, C, and T represent the polymer diffusion length, the annealing time, the degree of polymerization, a material-dependent property of polymer, and the temperature, respectively. This equation suggests that small increases in T can reduce the annealing time significantly, but it should also be noted that annealing at too high temperatures may lead to poor ordering due to the proximity of the order disorder transition. Once well-ordered films of PS-b-PMMA were obtained, the nanoporous templates can be prepared by removing the PMMA block by deep UV irradiation (l ¼ 254 nm) under vacuum. A typical value necessary for degradation of PMMA homopolymer is 3.4 J cm 2, and a dose of 25 J cm 2 is usually used for PS-b-PMMA thin films. [25,26] Also, note that the PMMA block can be removed by electron irradiation with a dose of 500 mc cm 2. [26] The resulting pore size of the nanoporous templates can be simply tuned by varying the molecular weight of PS-b-PMMA BCPs. [29] For cylindrical PS-b-PMMA, Xu et al. demonstrated that Figure 1. a) Schematics to prepare the nanoporous template from PS-b-PMMA thin film. The surface is first treated with PS-r-PMMA random copolymers, either having hydroxyl-terminated or crosslinkable group, and then PS-b-PMMA thin film is spin casted, and thermally annealed. Finally, the PMMA block is removed by UV irradiation to generate the nanoporous PS template. The SEM images of nanoporous template after UV irradiation are given below. The thickness of templates are: (b) 31 nm, (c) 42 nm, and (d) 51 nm. The domain spacing of PS-b-PMMA is 37 nm (MW ¼ g mol 1 ). Parts (b), (c), and (d) reprinted with permission from [25]. Copyright 2002, Wiley-VCH. Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4771

4 the pore diameters in the porous films can be tuned from 14 to 50 nm by changing the molecular weight of the PS-b-PMMA BCP from to g mol 1, in which the associated domain spacing (L o ) scales as N The limits of the accessible pore size should be understood in terms of the phase behavior of BCPs. The smaller pore size is limited by the degree of segregation, xn, as no phase separation is expected for the lower limit of xn. On the other hand, the larger pore size is limited by the kinetics of ordering, which is significantly slower for BCPs with higher molecular weight. To overcome this inherent limitation, a more precise control of pore size could be achieved by either incorporating small amounts of PMMA homopolymers into PS-b-PMMA or by crosslinking the PS matrix. [30 32] In these cases, pore sizes less than 10 nm were obtained, which would not be possible with the PS-b-PMMA BCP itself. While processing conditions for PS-b-PMMA-based nanoporous templates have been optimized, one of the major variables to be improved is the surface modification by PS-r-PMMA random copolymers. The conventional approach, attaching hydroxyl terminated random copolymers to the oxide layer, has a number of disadvantages. First, it is applicable only to specific surfaces, such as the oxide layers. To modify other substrates that do not have native oxide layers, an additional process step to deposit an oxide layer is required. In addition this process is slow with the surface modification step taking from 2 to 72 hours. These issues have been recently resolved by the development of a generalized approach to surface neutralization via a robust and efficient crosslinking strategy. [33 36] Russell and coworkers [33] demonstrated that incorporation of a thermally crosslinkable group, benzocyclobutene (BCB) (Fig. 2a), into the PS-r-PMMA random copolymers can generate ultrathin crosslinked thin films and effectively control the surface interactions. After heating to 250 8C for 2 3 min, a crosslinked random copolymer mat was prepared which controlled the orientation of PS-b-PMMA BCP layers perpendicular to this surface. Compared to the conventional method using random copolymer brushes, this process is much more effective and versatile, requiring only a few minutes to modify the surface and without relying on specific chemical reactions with the substrate surface. As a result, crosslinked films can be prepared on various substrates, including Al, Si 3 N 4, Kapton, PET, etc. Although the BCB crosslinking is very effective, the monomer is not commercially available and it has been recently demonstrated that other crosslinking chemistry can be used to modify the surface. [34] In this case, the azido group can be crosslinked by heat or UV light (Fig. 2b) with an efficiency similar to that of the BCB group. More importantly, the azide group could be easily introduced from commercially available monomers and unlike the BCB system, patterned random copolymer layers could be prepared by photo-crosslinking using a conventional top-down lithographic process, which allows subsequent patterning of the microdomains in PS-b-PMMA BCPs. Gopalan and coworkers [35] also used a similar approach to obtain the patterned BCP morphologies with controlled microdomain orientation. In this case, they used acryloyl or glycidyl group, with an aid of photo-acid generator, to photo-crosslink the random copolymers. When the crosslinkable unit is incorporated into the BCPs, this can provide additional functionality and processing capabilities to the BCP templates. Hawker and coworkers synthesized crosslinkable BCPs by imbedding the BCB group in PS block [37 39] and upon crosslinking and removal of the minor PMMA block, robust nanoporous templates were obtained that are significantly more stable to thermal and solvent treatments when compared to traditional systems. [37,39] Furthermore, the fact that the thin film layers are insoluble in a good solvent enables multi-layers of BCP patterns to be prepared. [38] For example, fabrication of multilayers of cylinder-forming PS-b-PMMA films demonstrated that the cylindrical microdomains in all the layers were well registered. This result suggests a new route to the design and fabrication of threedimensional structures with precise control of orientation in BCP microdomains in multi-level devices Solvent Annealing Process Figure 2. Chemistry scheme to synthesize PS-r-PMMA random copolymers containing a) thermal-crosslinkable BCB unit and b) thermal- and photo-crosslinkable azide unit. While the surface modification approaches have been primarily developed for PS-b-PMMA BCP systems (though the concepts developed should be generally applicable to other systems), there have been a variety of other efforts to control the orientation of various BCP systems. The most convenient approach is solvent annealing, which, like thermal annealing, exploits the increased mobility in the BCP thin film. However, unlike thermal annealing in which the films are heated above T g, solvent annealing uses the solvent vapor, mostly derived from a saturated solvent atmosphere, to partially solubilize and, hence, plasticize the thin films and increase 4772 ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

5 mobility. The solvent used is typically a good solvent for both blocks, and the annealing process can be done at ambient temperature. Under the saturated solvent vapor, the films are highly swollen and are driven into the disordered phase, as evidenced by in situ grazing incident small-angle X-ray scattering (GISAXS) measurements. In many cases, interesting film morphologies have been obtained via a rapid evaporation of the solvent from the thin film, i.e., the film is removed from the saturated solvent vapor and exposed to an ambient atmosphere. During solvent evaporation, it can be expected that the evaporation begins surface, and a gradient in solvent concentration will develop normal to the surface. As the solvent evaporates further, it is proposed that the top surface reaches the ordered phase and the ordering propagates from the top to bottom of the film, which is critical for lateral organization. Detailed investigation of this process is required with major questions being to quantitatively investigate the extent of swelling under the saturated solvent condition, the kinetics and progression of ordering, etc. Pioneering work in exploiting solvent annealing by Kim and Libera [40,41] demonstrated that various film morphologies can be obtained by varying the annealing conditions, such as the solvent evaporation rate or postevaporation annealing. For cylinderforming poly(styrene-b-butadiene-b-styrene) (SBS) tribcps, either vertical or perpendicular orientations can be obtained depending on the solvent evaporation rate. A multitude of other efforts to control the orientation or morphology of various BCP thin films using the solvent annealing technique have also been reported with controlling variables, like the solubility of each block, the solvent evaporation rate, the relative humidity, the film thickness, etc. By tuning these parameters, a wide variety of microstructures have been reported in various BCP systems. For example, Krausch and coworkers [42 44] produced a highly ordered hexagonally perforated lamellar (HPL) structures from cylinderforming poly(styrene-b-2-vinyl pyridine-b-t-butyl methacrylate) (PS-b-P2VP-b-PtBMA) tribcps. They further investigated the dependence of the morphology on the film thickness via a gradient combinational technique [42] with thin films of PS-b-P2VP-b-PtBMA tribcps, with gradually increasing thickness from 30 to 120 nm, being prepared and annealed under a chloroform vapor. From optical microscopy, it was observed that the originally smooth film surface developed terrace patterns after solvent annealing. It was suggested that the terrace pattern correspond to a uniform local thickness, which adopts a local free energy minimum for the system. The film morphology in each terrace exhibited a perforated lamellar structure, while parallel cylinders were observed in the areas between adjacent terraces. The choice of annealing solvent was also found to affect the film morphology. When films were annealed under THF, parallel cylinders were found in all the terraced areas. The different morphologies were attributed to the difference in solvent selectivity for the different blocks. Chloroform is slightly selective for P2VP, while THF is non-selective for all three blocks. From these comprehensive studies, it can be concluded that a key factor during solvent annealing is the choice of conditions, with evaporation rate and solvent-block compatibility being critical. The potential for obtaining well-defined nanopatterns with solvent annealing is perhaps best demonstrated with polyethylene oxide (PEO) based BCPs where the effect of humidity plays a significant role in defining the obtained nanostructure. [45 50] Initial work by Russell and coworkers [45,46] observed that a thin film of PS-b-PEO BCPs, having cylindrical microdomains of PEO, exhibits highly ordered arrays of cylindrical domains that are oriented normal to the substrate with nearly defect-free arrays of microdomains being produced that span over several microns (5 5 mm). In comparison to PS-b-PMMA, where the typical grain size formed by thermal annealing is nm, [21,51] PS-b-PEO is much more advantageous for the nanofabrication of addressable media. However, the PEO block is not easily removable by simple etching process which limits the application of this process. [52,53] To impart degradability to this system, ABC tribcps, PS-b-PMMA-b-PEO, were synthesized by living freeradical polymerization with the overall morphology being controlled to have cylindrical microdomains of PEO and PMMA blocks. [47] The solvent annealing process was found to lead also to a high degree of lateral ordering, as with PS-b-PEO, and nanoporous structures were obtained by UV degradation of the PMMA middle block, as illustrated in Figure 3a. For these systems, it was found that the microdomains were efficiently removed only when the PMMA blocks have a degree of polymerization high enough to form a distinct domain, namely a core/shell cylinder of PEO/PMMA domains in a PS matrix. Figure 3b represents the SEM image of the nanoporous template from PS-b-PMMA-b-PEO after removal of PEO/PMMA domains. Figure 3. a) Schematics to prepare the defect-free nanoporous template from PS-b-PMMA-b-PEO tribcps having core/shell cylindrical microdomains. b) Corresponding SEM image of nanoporous template from PS-b-PMMA-b-PEO tribcps. Scale bar is 500 nm. Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4773

6 As an alternative approach to obtain the highly ordered nanoporous structure, a trityl ether linkage can be inserted between the PS and PEO blocks. [50] After preparing the thin films of PS-b-PEO, the PEO microdomains were cleaved by hydrolysis of the trityl linkages by reaction with trifluoroacetic acid (TFA) leading to highly ordered nanoporous structures similar to that observed with PS-b-PMMA-b-PEO. During solvent annealing of PEO-based copolymer systems, a number of studies have shown that two variables the relative humidity (RH) or salt complexation play critical roles in the orientation and ordering of microdomains. [45 47,49,54] When the solvent annealing is carried out under controlled humidity, parallel orientation of cylindrical microdomains were observed under low RH, while perpendicular orientation was obtained under high RH conditions (RH > 70%) for PS-b-PMMA-b-PEO thin films. [54] A similar humidity effect was observed for lamellar-forming BCPs with low RH conditions leading to parallel alignment while, as the RH was increased, hexagonal arrays were observed at the surface that were characterized as an HPL structure, as evidenced by cross-sectional TEM and GISAXS measurements, which demonstrates the unique potential to obtain complex morphologies by solvent annealing. [54] The orientation of PS-b-PEO thin films were also affected by salt complexation within the PEO domains and to eliminate the effect of the RH, solvent annealing was performed under low RH conditions (RH < 60%). By varying the amount of salt within the PEO domains, the orientation and the degree of the lateral ordering could be controlled. [49] Although the solvent annealing condition for PEO-based copolymer systems has been optimized, there is little understanding on the mechanism that leads to the good lateral ordering. Based on the two controlling variables mentioned above, two possible mechanisms have been suggested. [46,54,55] The first mechanism is related to the enhanced effective x between the PEO and the other domains. [46] When the films are annealed under a saturated benzene vapor environment and exposed to a humid atmosphere, the top surface is cooled due to the evaporation of benzene. Then, water can condense on the surface and primarily swell the hydrophilic PEO domains. The increased non-favorable interaction, x, together with the enhanced polymer mobility due to the solvent, can lead to the high degree of lateral ordering in the film. In the same manner, the effective x can also be increased by the addition of salt to the PEO domains and it is likely that the good lateral ordering is achieved without swelling the PEO domain with water. Since the solvent concentration is lowest at the surface, there is a gradient in the concentration normal to the surface. As the solvent evaporates, an ordering front will propagate into the film, leading to a perpendicular orientation of PEO cylindrical microdomain throughout the film. This process is schematically illustrated in Figure 4a. With the higher salt concentration, the effective x will be increased further and it was observed, by in situ GISAXS, that the highly swollen film remains microphase separated throughout the annealing process. The other possible mechanism in PEO-based system was suggested based on the finding that humidity plays a critical role in the formation of highly ordered hexagonal arrays on the film surface. [54,55] This mechanism may be analogous to the breath figure phenomenon in that the ordering is induced by Figure 4. Schematic illustration of ordering in BCP thin films during the solvent annealing process via a) solvent evaporation and b) water condensation on the PEO domains. Part (a) reprinted with permission from [46]. Copyright 2004, ACS. condensation of water droplets on the cooled surface during the solvent evaporation step, as sketched in Figure 4b. In the case of PS-b-PEO films, the water will be condensed on the hydrophilic PEO domains, leading to the heterogeneous nucleation of very small water droplets. The droplets will grow within the PEO microdomains. The size of droplets will be limited by the size of the hydrophilic PEO microdomains, as they are surrounded by the hydrophobic matrix like PS or PMMA-b-PS. Consequently, it is likely that the combination of these factors can lead to the highly ordered hexagonal arrays on the surface. The ordered arrays on the surface can act as a template and the ordering will proceed into the film as the solvent evaporates further. Since these proposed mechanisms are based on the experimental observations, it is possible that each mechanism is independently applied to each case. However, future experiments and theoretical considerations would be desirable to verify the suggested mechanisms and to understand what induces the highly ordered arrays in the PEO-based copolymer systems. Lastly, it should be also noted that similar behaviors were observed in other systems that do not contain the PEO blocks. [56 60] In poly(isoprene-b-lactide) (PI-b-PLA) BCPs, Cavicchi et al. [56,57] demonstrated that the solvent annealing with chloroform under dry atmosphere led to a good lateral ordering of vertically oriented PLA cylinders at certain condition of film thickness. Recently, Park et al. [58 60] controlled the orientation and lateral ordering of poly(styrene-b-4-vinylpyridine) (PS-b-P4VP) BCPs via solvent annealing process. They tuned the solvent selectivity and evaporation rate by mixing THF and toluene with 4774 ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

7 varying compositions. [59] In these examples, the solvent annealing was performed without an aid of humidity, and hence it suggests that the first mechanism in Figure 4a is applied to these systems Alternative Approaches While surface modification and solvent annealing approaches have been examined in detail, a number of other novel approaches for achieving the perpendicular orientation of microdomains in various BCPs have been studied. For example, electric fields have been successfully used to align BCP microstructures. [26,61 69] The driving force is the differences in the dielectric constants, De, between blocks, and anisotropic microdomains, like cylinders or lamellae, were aligned in the direction of applied electric fields. However, complete alignment of the microdomains is not always achieved, as the applied electric fields compete with interfacial interactions that lead to a parallel orientation adjacent to the substrate. [65 69] To overcome the interfacial interactions, Wang et al. [70 73] demonstrated that ion complexation with one block can significantly enhance the dielectric contrast between blocks, overcoming the surface interactions and allowing the complete alignment of microdomains. As an alternative to modifying surface interactions via neutral layers, it has also been shown that a topologically roughened surface can affect the orientation of BCP microdomains. [74,75] Mayes and coworkers [74] and, later, Sivaniah et al. [75] investigated the orientation of lamellar-forming PS-b-PMMA thin films on the rough substrates. The surface roughness was characterized as q s R, with q s 2p/l s, where R is the vertical roughness of the surface and l s is the characteristic lateral periodicity in the surface. They proposed that there exists a critical substrate roughness, (q s R) c,true and as the surface roughness, q s R, increases, the free energy of a parallel orientation will be increased via bending deformations of the lamellae. Above the critical roughness, (q s R) c,true, such increases will surpass the energy penalty for perpendicular orientation and hence the perpendicular orientation becomes more energetically favorable. While external fields can certainly control the orientation of BCP microdomains, Kramer and coworkers [76] suggested that surface energies and chain architecture in the BCP can play a similar role. To demonstrate this point they investigated lamellarand cylinder-forming copolymers containing poly(cyclohexylethylene) (C) and poly(ethylene) (E) blocks. For a lamellar CE dibcp film, the microdomains were aligned parallel to the surface, with an E wetting layer on the surface. Interestingly, spontaneous perpendicular orientation of microdomains was observed for cylinder and lamellar-forming CEC tribcp films. This result was interpreted using self-consistent-field theory (SCFT) calculations of the excess free energy for different orientations in CE and CEC BCPs. These calculations predicted that the perpendicular orientation is favorable when the middle block has a lower surface energy and the difference in the surface energies of two blocks is small. For a parallel orientation of CEC tribcp, the entropy penalty arises from the mid-block looping in the surface wetting layer and this should be compensated by the difference in surface energies, which is not the case for the CE BCP (Fig. 5). [76] Figure 5. Schematics to describe the interplay between surface energy and entropy penalty from the middle block looping in the surface wetting layer in parallel orientation of CEC tribcps. No such constraint exists in CE dibcps. Reprinted with permission from [76]. Copyright 2006, ACS. When external additives, like nanoparticles, are incorporated into the BCPs, they can often play an active role in directing the orientation and morphology of microstructures. Theoretically, Balazs and coworkers [77,78] examined the entropic and enthalpic interactions between nanoparticles and symmetric BCPs that are confined between two surfaces. From simulations, they observed that the mixture can spontaneously self-assemble into nanoparticle-decorated lamellar layers oriented perpendicular to the surfaces and this prediction was subsequently experimentally demonstrated by Russell and coworkers. [79] By incorporating cadmium selenide (CdSe) nanoparticles into PS-b-P2VP dibcps having P2VP cylindrical microdomains, addition of CdSe nanoparticles was shown to mediate the interfacial interactions and thus control the orientation of the microdomains. From a kinetic point of view, the orientation begins at the air surface and propagates through the film thickness. [80] As another example, it was recently shown that the addition of hydrophilic nanoparticles into PS-b-PMMA can also direct the orientation of microdomains. [81] In this case, the hydrophilic nanoparticles in the PMMA cylindrical domains interact with water vapor during the solvent annealing and, hence, induce the orientation of cylindrical microdomains normal to the surface, similar to PEO-based copolymers. The last issue to be considered in this section is the decreasing influence of surface interactions with film thickness which results in a maximum distance over which the orientation of microdomains can persist. Using the surface neutralization strategy with random copolymers, cylinder-forming PS-b-PMMA can be oriented normal to the substrate for film thicknesses (h) that are about one lattice spacing (L o ), as described in Section 2.1. For thicker films, the influence of the surface dissipates and the orientation of the microdomains randomizes or will orient parallel to the surface, driven by the difference in the surface energies of the blocks. While the effect of a neutral surface is short range, external fields can operate over much larger distances. As a result, the aspect ratio (h/l o ) of aligned microdomains can be significant when electric fields are employed with the orientation of cylindrical or lamellar microdomains being maintained up to 30 mm. [26,61,65,66] Solvent evaporation has also been shown to operate over increased film thicknesses. For example, it was reported that the PEO-based BCP systems can produce film thickness of 200 nm with the perpendicular orientation of cylindrical Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4775

8 microdomains being maintained. [47,54] Without such external fields, Jeong et al. [82] reported that the aspect ratio can easily be increased in cylinder-forming PS-b-PMMA thin films by adding a small amount of PMMA homopolymer, where the molecular weight of the added homopolymer is larger than the molecular weight of the block. In addition, similar results were observed when PEO was added to the PS-b-PMMA. When the higher molecular weight PMMA homopolymer or PEO homopolymer were added to PS-b-PMMA, the homopolymers is confined to the center of the cylindrical microdomain, effectively compressing the homopolymer causing it to extend in a direction along the axis of the cylindrical microdomain. The effective length of the homopolymer along the cylinder axis is greater than the projection of the BCP onto the cylinder axis, so, essentially, the homopolymer spans multiple BCPs and acts as a guide to persist an orientation of the cylindrical microdomains in one direction. The influence of the added homopolymer is surprisingly dramatic with the orientation persisting even for films 330 nm (10 L o ) in thickness. It was also noted that PS-b-PMMA synthesized by atom-transfer radical polymerization (ATRP) were much more effective than PS-b-PMMA synthesized by anionic polymerization. In this regards, they attribute the enhanced thickness to the localization and relative extension of the homopolymers in the cylindrical microdomains, the differences in chain mobility, and the tacticity of the PMMA block resulting from the different syntheses. 3. Ordering in Lateral Direction The previous section focused on strategies to control the orientation of BCP microdomains normal to the surface of the film. Equally important is control over the lateral ordering in these aligned microdomains, especially for many device applications in the semiconductor and data storage industries, where addressability is critical. For example, it would be highly desirable if microdomains with controlled vertical orientation can have a perfect in-plane ordering within pre-existing geometric patterns or even without any surface patterning. This section describes a range of strategies that have been developed to achieve long-range lateral ordering, including graphoepitaxy, chemically patterned surface, shear flow and directional solidification. terms of commensurability and wetability (or interaction) of each block with substrates. The only drawback to this strategy is that surface area is lost due to the patterning which will decrease the total number of elements on a surface. The most significance aspect of graphoepitaxy is that long-ranged lateral ordering can be achieved within two-dimensional geometric sectors using typical processing conditions from the semiconductor industry. Pioneering work in this area has been performed by Kramer and coworkers [83 86] using topographically patterned surfaces fabricated by photolithography and chemical etching techniques. The resulting patterns were long trenches, consisting of an alternating series of mesas and wells. For the graphoepitaxial patterning of PS-b-P2VP BCPs with P2VP spheres, it was found that the width of mesa and the depth of the trenches are critical to produce good lateral ordering of monolayers of spherical microdomains such that, good lateral ordering is obtained only when the depth of the trench is equal to or greater than the height of one layer of spheres and the width of mesa is less than 5 mm. [83] The presence of hard edges can template the translational and orientational order of the microdomains, and this effect decreases as the distance from the edge increases. [84,85] Recently, Stein et al. [87,88] used GISAXS technique to characterize the structure of two-dimensional single crystal arrays of BCPs over larger areas. When monolayers of spheres were confined within arrays of hexagonal wells having 12 mm widths, they exhibited twodimensional single crystalline structure with hexagonal symmetry (Fig. 6a and b). From GISAXS measurements over several square millimeters of sample area, a single crystalline pattern was obtained (Fig. 6c) and long-rage orientational order fully analyzed using KTNHY theory. [87] Similarly, Ross and coworkers [89 92] have also used graphoepitaxy to examine registration of arrays of poly(styrene-b-ferrocenylsilane) (PS-b-PFS) having PFS spherical microdomains. The PS-b-PFS BCPs were chosen due to their significantly different etch selectivity and on comparison to the work by Kramer and coworkers, narrower templates, whose width is a few times lattice spacing were examined. In this case, perfect arrays of spheres with n rows were observed when the wall spacing, W, is between (n 0.5)L o and (n þ 0.5)L o, where L o is the lattice spacing of PFS spheres. [90] For the incommensurate condition where the wall spacing does not match with the ideal lattice spacing (W 6¼ nl o ), it was found that the lattice spacing increases or decreases to accommodate the confined templates Graphoepitaxy Graphoepitaxy uses topographic surface relief structures on the surface to induce crystallographic orientation in thin films and is a simple, convenient method for controlling the lateral ordering of BCP microdomains. This is due to the relative ease with which topographic patterns can be fabricated on a variety of substrates by conventional photolithography. The typical pattern size for graphoepitaxy ranges from 2 to 3 times the lattice spacing in BCPs to a few microns. Also, the underlying ordering mechanism is well understood in Figure 6. a) SEM image of patterned surfaces having hexagonal wells. b) AFM image of monolayer spheres confined within hexagonal wells in (a). c) Reconstructed GISAXD pattern resembling the single crystal power pattern. Reprinted with permission from [87]. Copyright 2007, APS ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

9 Interestingly it was also demonstrated that defects such as vacancies or dislocations can be induced by defects in the wall edge or modulation of the groove width, showing a potentially increased tolerance to line edge roughness which is critical for the semiconductor industry. [93] The effect of graphoepitaxy on other morphologies, such as cylindrical and lamellar microdomains, were also examined by Sibener and coworkers [94,95] who employed poly[styreneb-(ethylene-alt-propylene)] (PS-b-PEP) to align PS cylindrical microdomains within a grating substrate. Alignment was achieved by preferential interaction of the PS block with the substrate and sidewalls of the troughs, which induces parallel orientation of cylindrical microdomains and also templates the lateral alignment of PS cylinders along the edges of the troughs. Hammond et al. [96,97] quantitatively measured the orientation order in monolayers of P2VP cylinders in PS-b-P2VP BCPs as a function of annealing temperature. It was observed that orientational order spans the entire channel width (up to 3 mm) when annealing below the nematic-to-isotropic transition temperature, while the orientation order decreases with increasing temperature above the nematic-to-isotropic transition. Buriak and coworkers [98,99] adapted this system to fabricate aligned metallic nanowires using PS-b-P2VP BCPs with P2VP cylindrical microdomains. In this study, they first aligned P2VP cylinders within two-dimensional patterns, and then loaded various metal ions in the P2VP microdomains. After removing the organic matrix using plasma treatment, aligned metallic (e.g., platinum) nanowires were produced with various shapes, as shown in Figure 7. [99] In addition to aligning cylinders oriented parallel to the surface, vertically oriented cylinders were also investigated within patterned substrates. [100,101] In this case, the only requirement is treatment of patterned substrates with PS-r-PMMA random copolymers. The registration of vertically oriented cylinders follows the same behavior as that of PS-b-PFS spheres, in that the lattice spacing of cylindrical microdomains expands or compresses to fit within the confined channel. [101] Moreover, it was observed that the uniformity of the lattice spacing and the domain size was improved due to the neutral condition for the vertical sidewall surface. Li and Huck [102] subsequently used nanoimprint lithography technique to produce an array of BCP microdomains within a hard mold via graphoepitaxy. The cylinder-forming PS-b-PMMA films were spin coated on silicon surfaces that were neutralized with PS-r-PMMA random copolymers. Then, nanopatterned molds were imprinted onto the polymer films and annealed together. After annealing, the molds were removed and imprinted BCP patterns were obtained. Although the resulting registration of microdomains exhibited a similar pattern to that produced by graphoepitaxy on the patterned substrates, alignment was not perfect. This lack of order was attributed to nonuniformity in the gap width of the molds or to thickness changes (or variation) in the polymer films during the imprinting procedure. However, this method has a number of advantages Figure 7. SEM images of well-aligned platinum nanowires from PS-b-P2VP BCPs within various shaped patterns: a) rectangular channel with 400 nm width, b) triangular trench with a side length of 600 nm, c) circle with 1 mm diameter, and d) circle with 800 nm diameter. yin-yang shape line in (d) is formed due to the defect in the edge. Reprinted with permission from [99]. Copyright 2008, ACS. over using patterned substrates prepared by photolithography with simplicity and cost being primary. The fundamentally important case of symmetrical BCPs confined between two parallel walls has been the object of several experimental and theoretical studies. [ ] For this system, it has been well established that interactions between the blocks and the walls govern the orientation of lamellar microdomains, and the commensurability between the wall separation and the copolymer period affects domain spacing. Considering these features, Nealey and coworkers [109] developed a novel graphoepitaxy technique for lamellar-forming PS-b-PMMA BCPs. To align the perpendicular lamellar microdomains within the patterned substrates, they determined that the bottom surface should have a neutral interaction with both blocks while the sidewalls should have preferential wetting by one block (Fig. 8a). Figure 8. Schematics and corresponding SEM images of lamellar-forming PS-b-PMMA (MW ¼ g mol 1 ) BCPs within patterned substrates. a) The gold sidewalls are preferentially wetted by PS and the bottom has a neutral wetting for both blocks. b) Patterns were prepared by etching SiO 2 substrates and hence both sidewalls and bottom have a preferential wetting with PMMA block. c) Patterns in (b) were treated with PS-r-PMMA random copolymers and thus both sidewalls and bottom have a neutral wetting with both blocks. Reprinted with permission from [109]. Copyright 2007, Wiley-VCH. Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4777

10 As shown in Figure 8b and c, the uniform wetting of both the bottom and sidewalls leads to distinctly different patterns. For preferential wetting, the lamellae were oriented parallel to the bottom, while neutral wetting resulted in lamellae oriented perpendicular to both the bottom and the sidewalls. Recently, Yamaguchi et al. [110] extended this method to align vertical lamellar domains in curved confinements such as rectangular and hexagonal grooves. While the commensurability and preferential interaction between blocks and substrates are the main factors governing film morphologies on flat surface, the curvature of the substrate is another variable in non-planar geometric templates. [ ] To address this question, Russell and coworkers [ ] investigated BCP morphologies confined within cylindrical pores of nanoporous alumina templates. When the pore diameter (D) is comparable to the domain spacing of BCPs (L o ) and they are incommensurate, equilibrium bulk morphologies are significantly altered due to severe chain frustration at the curved interface. [111] Figure 9 shows an example of lamellar-forming PS-b-PB BCPs confined within a cylindrical pore with D/L o ¼ 2.6, where the bulk lamellar structures were changed to stacked, toroidal morphologies. For spherical and cylindrical microstructures, many intriguing morphologies (e.g., helical strings of spheres, helical cylinders, stacked donuts, peapod structures, etc.) were observed depending on the condition of D/L o. [112] 3.2. Chemically Patterned Surface An alternate approach to controlling the lateral ordering of BCP microdomains is via the use of chemically patterned surfaces in which the size of patterns (L s ) are comparable to that of BCP microdomains (L o ), L s L o. Driving this work has been a range of theories and simulations predicting that BCPs can conform to surface patterns when there is a strong surface interactions between blocks and patterns. [ ] To obtain the desired patterns of microdomains (such as perpendicular lamellae), it has been suggested that the commensurability between the period of BCP domains and the period of surface pattern is important, i.e., L s ¼ L o, while the top of the films should have neutral or weakly preferential interactions. [ ] If these criteria are not satisfied, undesired morphologies (parallel only or mixed orientations) and defects (uneven domain sizes) can be expected. Figure 9. TEM images of lamellar-forming PS-b-PB BCP within a cylindrical pore of nanoporous alumina membrane. The pore diameter (D) and the domain spacing of PS-b-PB are 45 and 17.6 nm, respectively (D/L o ¼ 2.6). The scale bars are 50 nm. Reprinted with permission from [111]. Copyright 2004, AAAS. Experimentally, Rockford et al. [123,124] investigated the simple case of a symmetric PS-b-PMMA BCP on nanoscopically heterogeneous surfaces. The surfaces were comprised of alternating silicon dioxide (SiO 2 ) and gold (Au) stripes, where the polar SiO 2 and non-polar Au patterns are selectively wetted by PMMA and PS blocks, respectively. After the PS-b-PMMA films were prepared on the substrate by solution casting followed by slow evaporation of solvent, perpendicularly oriented lamellae were formed and their ordered patterns strongly resembled the surface patterns. As was expected from simulations, the commensurability between L s and L o plays a crucial role in the orientation and lateral ordering of microdomains. For thin films, surface patterns within 25% mismatch between L s and L o was efficient in inducing ordering of microdomains. [123] When the film thickness becomes thicker, stricter requirements were observed with even a 10% mismatch between L s and L o leading to a loss of control over the microdomain orientation and parallel lamellae. [124] Nealey and coworkers [ ] extensively elaborated this concept and pushed BCP lithography into non-symmetrical structures by preparing chemically patterned surfaces via advanced lithographic tools, i.e., extreme ultraviolet interferometric lithography (EUV-IL). The general procedure has been established as following. First, silicon substrates were treated with self-assembled monolayers (e.g., octadecyltrichlorosilane or phenylethyltrichlorosilane) or hydroxyl terminated polymer brushes (e.g., PS-r-PMMA or PS) [126,129] followed by coating with a photoresist and subsequent patterning by EUV-IL. The photoresist patterns were transferred to chemical patterns by soft X-ray irradiation (for self-assembled monolayers) or oxygen plasma etching (for polymer brushes). Consequently, these areas become polar and hydrophilic, while the unexposed areas remain non-polar and hydrophobic. After removing the residual photoresist, well-defined chemical patterns were obtained. BCPs were then spin-coated onto these chemically patterned substrates and thermally annealed to obtain surfacedirected morphologies. To demonstrate the effectiveness of this process, the registration of lamellar-forming PS-b-PMMA BCPs were investigated by varying the pattern size (L s ) and the underlying surface chemistry. By using phenylethyltrichlorosilane derived monolayers as the underlying chemical pattern, defect-free and well-registered nanostructures with perpendicularly oriented lamellae were obtained over large areas (more than several microns), when L s is close to L o (ca. <5%) (Fig. 10b). For larger discrepancies, defects such as dislocations or herringbone morphologies were observed, as shown in Figure 10a and c. [126] To further optimize the processing conditions, it is important to understand the relation between the commensurability and the surface interaction. By controlling the interfacial interaction between PS-b-PMMA and the patterned substrates through the use of PS-r-PMMA random copolymer brushes with varying styrene/mma compositions as the surface neutralization agent significant insight was developed. [129] On patterned 50:50 PS-r-PMMA brushes, no high degree of registration and ordering was seen even when L s ¼ L o. With increasing the styrene composition to 58%, perfect registration were achieved at L s ¼ L o. When the styrene composition further increases to 70 and 100%, then the processing windows for perfect patterns become wider, due to an increase in the contrast in interfacial energies. For 100% 4778 ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

11 Figure 10. SEM images of lamellar-forming PS-b-PMMA (L o ¼ 48 nm) on chemically nanopatterned substrates with the pattern size of a) 45 nm, b) 47.5 nm, and c) 52.5 nm. Reprinted with permission from [126]. Copyright 2003, NPG. PS brushes, highly ordered patterns were achieved with an almost 20% difference between L s and L o, suggesting optimal conditions for processing. As another important processing condition, it was recently demonstrated that the processing time required for annealing of BCPs can be significantly reduced to <5 min by varying the annealing temperatures. [141] For lamellar-forming PS-b-PMMA BCPs, highly ordered assemblies were achieved by annealing at 280 8C for only one minute. In this case, higher annealing temperature increases the polymer mobility, while the interaction parameter and the surface energy of PS-b-PMMA do not change significantly. Nealey and coworkers [128,130,136] have also investigated alignment of cylinder-forming PS-b-PMMA or PS-b-PtBA BCPs on chemically patterned surfaces. Due to the preferential wetting between the chemically pattern areas and respective blocks, the cylinders were aligned along the stripes with parallel orientation and a high degree of order. If L s is commensurate with L o, the film thickness corresponds to a half or single layer of cylinders, and the widths of adjacent stripes are nearly same. [130] For the cylinder patterns in PS-b-PtBA, aligned PtBA cylinders were further transformed to one-dimensional arrays of polyacrylic acid (PAA) spheres by thermal treatment, demonstrating a new method to fabricate well-defined 1D arrays of nanodots or nanoparticles. [128,136,140] Taking advantage of chemically patterned surfaces, more interesting morphologies of cylinders with perpendicular orientation were also demonstrated. When square arrays were fabricated on the surface, it was found that cylindrical domains follow the surface patterns producing a square array of cylinders with perpendicular orientation. [138] To apply this technique in current manufacturing process, it is also important that self-assembled microdomains are compatible with various non-regular patterns beyond simple lines or spots. The critical issue was how curvature can be accommodated and how non-symmetrical patterns can be generated without any defects. Typically, energetic penalties prevent pure BCPs from forming these features due to chain stretching. However, Nealey and coworkers [127] have overcome this limitation by introducing ternary blends, for example, blending of 20 wt % PS, 20 wt % PMMA, and 60 wt % PS-b-PMMA which allows these homopolymers to segregate to areas of high curvature, relieving the free energy cost by chain stretching of BCPs, greatly increasing the range of possible BCP lithographic structures. Recently, they further developed this strategy by fabrication of many features commonly required for manufacturing circuits, notably geometries such as jogs or T-junctions, dense as well as isolated patterns (Fig. 11). [135] When the same ternary blends were annealed on patterned square array surfaces, preferential interactions and morphological mismatches between the blends and the surface patterns results in complex 3D nanostructures such as bicontinuous structures or quadratically perforated lamellae (QPL), depending on the film thickness. [131] This provides a new possibility for fabricating self assembled 3D structures from 2D surface templates. Instead of using the surface patterns having the same length scale as BCPs, sparse templates have been recently employed to direct the lateral ordering of BCP microdomains. [142,143] Nealey and coworkers [142] prepared hexagonal arrays of dots on the surface by electron beam lithography to align cylinder-forming PS-b-PMMA BCPs. The lattice spacing of hexagonal arrays, L s, was adjusted to be L o or 2L o. For sparse surface patterns, L s ¼ 2L o, the remarkable feature was that the self-assembly of BCPs can interpolate additional PMMA cylinders in between the prepatterned dots and the resulting BCP patterns exhibited a perfect ordering with natural L o, indicating that the feature density is Figure 11. SEM images of ternary blend of PS, PMMA, and PS-b-PMMA on chemically patterned substrates having various shapes, i.e., a) nested arrays of jogs, b) isolated PMMA jogs, c) isolated PS jogs, d) arrays of T junctions, and e) isolated segments. The PS and PMMA domains are shown as light and dark gray, respectively. Reprinted with permission from [135]. Copyright 2007, ACS. Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4779

12 increased by a factor of four. Similarly, Cheng et al. [143] investigated the alignment of lamellar-forming PS-b-PMMA BCPs on sparse patterns. In this case, they observed that a perfect alignment of lamellar can be obtained even when L s ¼ 4L o. Furthermore, both groups demonstrated that the self-assembly of BCP can also improve the pattern quality. For example, it was shown that several defects in prepatterns, such as variations in lattice distance, dot size distribution, line edge roughness, broken lines, can be significantly rectified by the self-assembly of BCPs. As a close analogy with sparse chemical patterns, Bita et al. [144] employed sparse 2D arrays of posts to induce a long-ranged ordering of BCP spheres by graphoepitaxy. The posts were fabricated to physically and chemically mimic the BCP microdomains. When L o is commensurate with L s, it was demonstrated that these posts can effectively replace BCP microdomains and direct a lattice orientation and a long-ranged lateral ordering Engineering Approaches The most popular approach to aligning BCP microstructures using an external field in either the bulk or solution is by the application of shear flow fields using various rheometers. The shear responses of spherical, cylindrical, and lamellar microdomains have been extensively studied, and their alignments and orientations depending on the shear conditions (oscillatory or steady shear, shear rate, and strain) well established. [ ] In thin films, Angelescu et al. [151,152] employed shear flow to align BCPs of polystyrene-b-poly(ethylene-alt-propylene) (PS-b-PEP), with cylindrical and spherical PEP microdomains. In this case, a crosslinked polydimethylsiloxane (PDMS) rubber pad or viscous PDMS oil was used to impose the shear stress on the thin films [ ] with shear being applied at temperatures between the glass transition and the order disorder temperature. For single layers of PEP cylinders, the PEP cylinders were aligned parallel to the shear direction and a high degree of lateral ordering was achieved over square-centimeter areas after application of shear, as shown in Figure 12. [151] However, it was found that a monolayer of PEP spheres cannot be shear aligned due to a lack of mechanical anisotropy in hexagonal lattices, and hence two or more layers of spheres were required to obtain good lateral ordering of shear-aligned spherical microdomains. [ ] It was Figure 12. Schematic of monolayer of cylindrical microdomains and the shear direction applied. The corresponding AFM image is shown in the right. The arrow indicates the shear direction. The scale bar is 250 nm. Reprinted with permission from [151]. Copyright 2004, Wiley-VCH. also demonstrated that the presence of defects, like dislocations, is an intrinsic limitation of this technique. However, it is advantageous over other methods described in Sections 3.1 and 3.2, as predefined patterns are not required, and the process is simple and easily applicable to larger areas. As a result, shear aligning is very useful for the fabrication of devices that do not require perfect lateral ordering. For example, shear aligned cylinders have been used to produce the metal wire grids which effectively function as transmitting polarizers for UV and visible lights. [157] In addition to shear fields, Thomas and coworkers [ ] have developed a rapid directional solidification process for alignment of BCP domains, which relies on the directional crystallization of solvents such as benzoic acid (BA) or anthracene (AN). As an example, semicrystalline BCPs containing PE blocks were homogeneously mixed with BA or AN above the melting temperature. On cooling, the solvents crystallized, acting as substrates on which epitaxial crystallization of PE blocks are induced. The combination of two driving forces, directional solidification of solvents and epitaxy of PE blocks on crystalline substrates, leads to highly ordered microdomains that are oriented parallel to the direction of the rapidly grown solvent crystals. Moreover, this process can also be used to align microstructures in amorphous dibcps, such as PS-b-PI and PS-b-PMMA. [160,162] In this case, only the directional solidification of organic solvents (BA or AN) was involved and the microdomains were aligned along the growth direction of the solvent crystals. Depending on the film thickness and molecular weights, it was also observed that the orientation or ordering behavior of microstructures can be controlled. [163] Subsequently, Ho and coworkers [164,165] investigated the ordering mechanisms of strongly and weakly segregated systems, polystyrene-bpoly(l-lactide) (PS-b-PLLA) BCPs. For strongly segregated PS-b-PLLA (high MW), the orientation was achieved by microphase separation of PS and PLLA blocks and directional crystallization of solvents, with the PLLA crystallization being of secondary importance. In contrast, the orientation of microstructures was induced by directional crystallization of PLLA block on crystalline substrates in the case of weakly segregated PS-b-PLLA BCPs (low MW). [164,165] Similar to the directional crystallization of solvents, other fields, such as temperature and concentration gradients, have been directionally imposed to achieve long-ranged ordering in BCP microdomains. [ ] Hashimoto and coworkers [166,167] applied moving temperature gradient field, so called zone heating to control the macroscopic orientations of BCP microdomains in bulk films. The samples were first heated above the order-disorder transition temperature, and then cooled slowly in a gradient to induce the orientation of microdomains. During the ordering process, the microdomains were aligned parallel to the temperature gradient direction, and a large single grain of microdomains was obtained for lamellar structures. Angelescu et al. [168] modified this method to align the microdomains in thin films. They microfabricated the heater and sensor on the silicon wafer to accurately control the sample temperature and temperature gradient. When the temperature gradient was applied to a monolayer of cylindrical microdomains, a high degree of lateral ordering with parallel cylinders was obtained. It was also found that an oscillatory temperature 4780 ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

13 gradient can further reduce the defect density in the lateral ordering. However, it was noted that the orientation of cylinders was not correlated to the direction of temperature gradient imposed. Therefore, this method requires more fundamental work to understand the detailed mechanism of growth and dynamics of BCP microdomains ordering before the true potential of gradient fields can be realized. In this spirit, Kimura et al. [169] introduced a simple gradient method to generate long-ranged ordering of cylinder-forming PS-b-PB via the flow of solution droplet pinned to a tilted surface, followed by subsequent solvent evaporation. On the tilted surface, the solvent evaporates from the top edge, causing a downwards directional flow. Consequently, the parallel cylinders were aligned in the flow direction, with a high degree of lateral ordering over large areas, especially near the top edge where the flow field is strongest. Tang et al. [170] developed a similar zone-casting technique to align lamellar-forming poly(n-butyl acrylate)- b-poly(acrylonitrile) (PBA-b-PAN) BCP. In designing a zonecasting strategy, the solution is injected through the nozzle, and the substrate is slowly moved to control the solvent evaporation rate. In this process, the microphase separation occurs at the solvent evaporation front, and hence the lamellae are aligned perpendicular to the zone-casting direction which allows good lateral ordering of perpendicular lamellae over the entire area (3 cm 5 cm). As a close analogy with above process, it was already mentioned in Section 2.2 that solvent annealing can control the orientation of microdomains in vertical direction, due to the directionality in the solvent evaporation. At the same time, a high degree of lateral ordering in the vertically oriented cylinders was obtained via incorporation with salts or humidity in the case of PEO-based BCPs. Considering the simplicity of the solvent annealing process, this system is very intriguing as the vertical orientation and lateral ordering of the microdomains can be simultaneously controlled. Recently, Hawker and coworkers [171] further developed this process to produce highly ordered square arrays in BCP blend films by combining the supramolecular interactions and the phase segregation of BCPs. In this case, they designed two BCPs, based on PS-b-PEO and PS-b-PMMA, in which small fractions of 4-hydroxystyrene and 4-vinylpyridine units are randomly incorporated in respective PS blocks, as shown in Figure 13a. After solvent annealing process, the blend films exhibited the well-ordered square arrays of PEO and PMMA cylinders (Fig. 13b and c). Subsequently, these patterns were lithographically transferred onto the silicon oxide by reactive ion etching, demonstrating that the industry-standard square symmetry can be also fabricated from BCP systems. 4. Application of BCP Lithography A very attractive application of BCP phase separation is to employ the BCP films as patterning templates. BCP lithography is considerably less costly than electron beam lithography and allows feature sizes not easily accessible by optical lithography to be obtained. Mass production and a simplified process able to produce nanometer-scale features with high density have been considered advantageous over other templating methods. Two approaches have seen significant advances: (1) position-selective Figure 13. a) Pair of supramolecular A-B and B -C type dibcps that can be stabilized by hydrogen bonding. b) TEM image and its Fourier transform (inset) of blend film showing square arrays after solvent annealing. c) Schematic illustration of proposed chain packing in blend film. Reprinted with permission from [171]. Copyright 2008, AAAS. deposition or spatial coding of materials on desired microdomains followed by calcination to make dots or wires, (2) transferring the BCP pattern to underlying substrates for direct formation of nanostructures or filling of the feature with functional materials Nanoparticle Decoration in Preferential Domains The preferential segregation of selectively added materials in microdomains has been used for the direct decoration of patterned surfaces. Two approaches have been developed, an in situ coding of inorganic nanostructures within microdomains of a well-ordered BCP template and a spatial confinement of premade nanoparticles within selective microdomains. Sita and coworkers pioneered the deposition of metal nanoparticles on patterned BCP thin films [172,173] by demonstrating the preferentially positioning of passivated Au nanoparticles on PS microdomains in PS-b-PMMA thin films. [172] They further demonstrated Pd nanoparticles preferentially deposited on PS and exploited these materials for the electroless deposition of Cu to produce continuous Cu lines. [173] Lopes and Jaeger [174] used an evaporative metal source for depositing a wide range of metals (Au, Ag, In, Pb, Sn, and Bi) onto one of the two microdomains, as shown in Figure 14. The evaporated metal atoms diffused to the preferred domains forming nanoparticles with spatial selectivity on the surface of the film, Au and Ag segregate to the PS microdomain in PS-b-PMMA thin films, but to the P2VP microdomains with PS-b-P2VP. In contrast, In, Pb, Sn, and Bi segregated to the PMMA microdomains in PS-b-PMMA thin films. When the deposition amount was small, selectivity was Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4781

14 Figure 14. Formation of metal nanoparticles and nanowires by evaporating different metal vapors on PS-b-PMMA BCP thin films. a) Au metal, b) after annealing at 180 8C for 1 min under Ar atmosphere, c) after repeated deposition and short-time annealing, d) large scale TEM image, and e) continuous Ag lines. Reprinted with permission from [174]. Copyright 2001, NPG. nearly 100%. Continuous deposition of larger amounts of Ag led to a coarsening between the nanoparticles within the PS domains, generating continuous Ag lines along the PS domains. Fahmi and Stamm [175] showed Pd decoration on P4VP in PS-b-P4VP and continuous lines of various metal species. Instead of direct metal evaporation, the deposition of organometals enabled the complex to diffuse more deeply through the BCP films. [176] Ansari and Hamley [177] used PS-b-PI-b-PS tribcps stained with osmium tetraoxide (OsO 4 ) in the PI microdomains to demonstrate selective deposition of Au on the PI microdomains which was enhanced with increasing degree of staining. BCP templates can also be used as nanoreactors for the synthesis of inorganic nanoparticles. Metal salt-containing BCPs were self-assembled and the metal salts reduced by chemical reducing agents. For solution-based in situ coordination of inorganic nanoparticles, most studies have been performed in the bulk or with micelles. However, since the concept can be employed in thin film templates we briefly review the development and current status of in situ formation of nanoparticles. Forster and Antonietti [178] pioneered such a strategy with Cohen and coworkers [ ] further applying the concept to BCP templates. Cohen et al. prepared Pt and Pd nanoparticles in BCP micelles by reducing the metal salts with hydrogen while Pb salts incorporated in BCP micelles could be converted into PbS quantum dots through the reaction with H 2 S. The same group utilized norbornene derivatized BCPs to provide carboxylic acid for enhanced complex formation of the metal salts with BCP microdomains. Various metal species could be obtained as pure metal nanoparticles including Au, Ag, Cu, Pd, Pt, Zn, etc. [ ] Metal-containing ionic polymer blocks based on [poly(cadmium acrylate)] have also be used to produce CdSe nanoparticles [187] while non-specific interactions with co-ordinating polymer chains such as P2VP, P4VP, and PEO have been widely used to complex various metal salts. [178] Doping of micelles formed from PS-b-P2VP and PS-b-P4VP has been used to prepare a variety of metal clusters through chemical reduction with examples including Pd, Co, Au, Rh, and Pt nanoparticles produced in PS-b-P4VP micelles in toluene. [ ] In a similar fashion encapsulated quantum dots have been prepared [191] and PS-b-PEO diblock micelles used to produce various metal clusters. [192,193] Although major interest for the metal solution process coding are focused on bulk or micelles of BCPs, [ ] a number of studies demonstrate the significant potential of this process. Sohn and Seo [200] first employed such in situ coding of metal nanoparticles in PS-b-P4VP thin films, as displayed in Figure 15. The Au precursor (HAuCl 4 ) effectively penetrated through the PS and P4VP lamellar stacking and forms complexes with the P4VP microdomains. Small nanoparticles (5 nm) were then produced by reduction with NaBH 4 and located solely in the P4VP domains. Figure 15. a) Schematic representation of multi-layered alternating polymers and Au nanoparticles in a thin PS-b-P4VP film, b) cross-sectional TEM image, and c) high magnified TEM image. Reprinted with permission from [200]. Copyright 2001, ACS ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

15 Figure 16. a) Schematic illustration for preparation of silica dots. PS-b-P4VP thin films were spin-coated and solvent by annealed to induce surface reconstruction for nanopore generation. PDMS was infiltrated by heating and oxygen plasma generated silica dots from the PDMS. b) SFM image of silica dot arrays, and c) SFM image of parallel silica nanowires (scale bar: 200 nm). Modified with permission from [58]. Copyright 2008, Wiley-VCH. Similarly, Li et al. used PMMA-b-PEO containing vertically oriented PEO cylindrical domains as a template for doping the cylindrical domains with Ag þ followed by photochemically reduction to metallic Ag. Etching of the BCP thin films left uniform-sized Ag nanodots on the surface. [201] Wiesner and coworkers [ ] elucidated the assembly/disassembly of nanoparticles in PI-b-PEO BCP thin films. By adjusting the relative size of nanoparticles, they could control the morphology of the mesostructure to give nanotubes, hexapods, and other complex structures. [198,204] Calcination of PS-b-PFS BCP thin films with spherical PFS microdomains was also shown to be an effective strategy for producing arrays of magnetic dots. [205] In a similar way to the formation of metal nanoparticles by reduction of small molecule precursors, sol gel processes has been widely employed to prepare metal oxide nanoparticles, nanowires, and thin films. The small molecule precursors can be selectively nucleated in hydrophilic domains, which allows spatial localization in amphiphilic BCP thin films. Möller and coworkers [206] pioneered the formation of nanoparticles in BCP micelles. Since many metal alkoxides are soluble in organic solvents, they first loaded hydrolyzing component (aqueous HCl) in the core of PS-b-PEO inverse micelles in toluene, and then titanium alkoxide was introduced. The alkoxide precursors were instantaneously hydrolyzed and selectively precipitated in the core, forming TiO 2 nanoparticles. Among the various metal alkoxides, silicon compounds have been the most widely studied due to the relative stability of the precursors in air and controllable rate of gelation under ambient conditions. After preferential positioning of silicon oxide gels, the organic thin films could be removed by calcinations or plasma etching. The first demonstration of the concept was shown by Watkins and coworkers. [207] They employed the concept of Möller s work. Acid was incorporated in PEO domains of spin-coated PEO-b-PPO-b-PEO (pluronic F127) thick films. The BCP templates were exposed to a solution of silica precursor (TEOS) in humidified supercritical CO 2. The diffusion of the precursor was facilitated with the help of supercritical fluid diluting the polymer chains. The hydrolysis of the precursor was carried out only in the hydrophilic domains. The supercritical fluids effectively removed the alcohol by-products generated during the hydrolysis of the precursor, which promoted the rapid and extensive network condensation of the precursor. Removal of the polymeric components produced silicate mesoporous films. Russell has demonstrated the formation of silica gel dots in PEO microdomains perpendicularly oriented in PS-b-PEO BCP thin films. [208] The same group also demonstrated that the fabrication of silica gel dots can be achieved by filling TEOS in nanopores generated by selective removal of PMMA domains in vertically oriented PS-b-PMMA BCP thin films. [51,209] Also, Freer et al. [210] demonstrated that the co-assembly of silica precursor that is selectively miscible with PEO block in PS-b-PEO can form silica dots or nanowires. In this case, it was shown that calcination transformed the gel dots into complete silicon oxide dots after removing the polymer thin films. Very recently, Park et al. [58] developed a more robust strategy for the production of silica dots and nanowires. As shown in Figure 16, PS-b-P4VP thin films containing P4VP cylindrical microdomains were oriented in vertical or parallel directions by the solvent annealing process and additional solvent annealing with P4VP-selective solvents such as ethanol generated nanopores in the P4VP microdomains via surface reconstruction. Application of a PDMS thin layer on the nanoporous BCP thin films allowed diffusion into the pores with the help of capillary force and conversion of the PDMS to silicon oxide could be achieved under an oxygen plasma [211] to give in a single step, silica dots or nanowires. Similar structures could be obtained by initial formation of PS-b-PDMS BCP thin films with spherical or cylindrically orientated PDMS microdomains followed by exposure to UV light in the presence of ozone. The PDMS microdomains were transformed into silica dots or nanowires at the same time as removal of the PS matrix. [212,213] In order to precisely control the size of nanoparticles selectively decorated in one microdomain, positioning premade nanoparticles is potentially a more facile strategy than in situ formation. During metal evaporation, the surface of solid polymer films directly contact the adsorbed metal atoms, thereby the position of metal atoms can be determined by the interfacial energy between the respective polymer domains and the metal atoms. In nanoparticle-containing BCP thin films, however, polymer chains and nanoparticles mutually contribute to the actual morphology [ ] and orientation. [77,218] Without specific attractive interactions between the nanoparticles and polymer chains, the nanoparticles undergo clustering as the polymer chains pay an energetic penalty near the particle surface, leading to loss in conformational entropy. This tendency becomes greater as the particle size increases. [219] From this O Shaughnessey predicted that large particles should localize at the center of microdomains with small particles more randomly distributed in the microdomains. [220,221] To overcome this phase separation, enthalpic interactions can be employed to control the position of nanoparticles. By tailoring the chemistry at the particle surface, the orientation of microdomains and the position of nanopar- Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4783

16 Figure 17. a) TEM image of thin films from pure PS-b-P2VP BCP, which has parallel orientation to the substrate, b) vertical orientation of PS-b-P2VP-CdSe nanoparticle-linked BCP, c) secondary electron SEM image of CdSe containing thin film surface, and d) schematic representation of nanoparticle assembly. Reprinted with permission from [79]. Copyright 2005, NPG. ticles can be manipulated, as previously mentioned in Section 2.3. Russell and coworkers [79] showed that surface-attractive nanoparticles can orient BCP microdomains normal to the surface by chemically modifying CdSe nanoparticles with P2VP chains followed by dispersion in PS-b-P2VP BCPs. While the pure BCPs were oriented parallel to the surface, the nanoparticle containing systems was vertically aligned to the substrate, as shown in Figure 17. Similarly, He et al. [80] reported that TOPO-covered CdSe nanoparticles can orient microdomains of PS-b-P2VP normal to the surface even when the substrate has strong interaction with P2VP. In contrast, non-selective nanoparticles assemble at the interface between the microdomains, thereby reducing interfacial energy. [222,223] Such nanoparticle confinement at the interface can induce morphological change for the mixture when compared to the pure BCPs. [214,224] Nui and Saraf. [225] developed a method to selectively deposit nanoparticles on the ordered nanoscale elements of PS-b-PI-b-PS BCP thin films. They selectively modified the PS surface with amine groups to electrostatically attract negatively charged Au nanoparticles. It was observed that the deposition at the edges of the domain is particularly high, forming a percolating nanoparticle necklace Dots and Rods Generated via BCP Lithography The first use of nanoscopically defined BCP thin films as lithographic resists was demonstrated by Park et al. [226,227] They successfully demonstrated that the pattern from a single layer of polybutadiene (PB) microdomains in a PS matrix can be transferred to the underlying substrate. Initially the PB microdomains were degraded by ozonolysis, generating spherical cavities in crosslinked PS matrix. Uniform etching of the thin films with reactive ions (CF 4 or CF 4 /O 2 ) transferred the overall shape of the resulting PS matrix to the underlying substrate as the vertical distance is smaller in the cavity compared to the surrounding film. From this strategy, they demonstrated the formation of spherical nanoholes and trenches at the surface of silicon nitride substrates. Conversely, staining the PB microdomains with osmium tetroxide significantly decreased the etch rate of the PB in comparison with the PS. Therefore, reactive ion etching results in silicon nitride dots and nanowires as well as pattern transfer into other semiconductors such as silicon and germanium. [228] The same group further extended this concept to the fabrication of nanochannels in polyimide. [226,229] The fabrication of nanostructures using the classical PS-b-PMMA BCP system has been extensively explored following the well-established processes to orient cylindrical PMMA microdomains normal to the film surface followed by UV exposure to degrade the PMMA domains. In analogy with the above studies, a variety of different substrates can be exploited. For example, Liu et al. [230] prepared vertical PMMA cylinders on top of FeF2/Fe/Al thin films and etched the films to fabricate Fe network layer on the FeF2 thin film. Russell and coworkers [231] effectively positioned a single CdSe nanoparticle in each nanopore which was generated by selectively removing the PMMA cylindrical microdomains. By adjusting the pore dimensions, size selective uptake of nanoparticles was also demonstrated although 100% filling of the pores was not observed. The same group also developed a direct evaporation strategy for the deposition of metal species in PMMA-etched nanopores to initially produce an array of Cr nanodots. [232] Subsequent deposition of Au created an array of Cr/Au multi-layered dots. The generation of structured nanowires was further elaborated by Albrecht et al. who took full advantage of the high aspects ratios for cylindrical PMMA microdomains possible through the application of electric field to orient PMMA microdomains up to micrometer levels. Selective UV etching and acetic acid rinsing of PMMA cylinders generated nanopores and electrodeposition allowed Co nanowires with high aspect ratios to be prepared. [66] Significantly, the Co nanowires showed single magnetic domains due to the narrow lateral dimension and high aspect ratio even though they were polycrystalline in nature. The magnetic behavior was highly anisotropic and possessed much larger coercivity compared with other examples of Co nanowires. The structures generated from PMMA microdomains in PS thin films have also been frequently utilized as lithographic masks to produce nanopores in underlying silicon oxide or silicon nitride substrates. Similar to PS-b-PI thin films, the relatively faster etch rate of PMMA under CF 4 reactive ion etching enabled the position of the PMMA microdomains to be successfully transferred to the underlying substrates. [233] In related studies, Zschech et al. [234] reported on pattern transfer of cylindrical PMMA microdomains oriented normal to the substrate into Si 3 N 4 underlying layers. Etching followed by deposition of Au nanoparticles and removal of the polymer afforded Au nanoparticles embedded in a Si 3 N 4 layer. Reversal of the BCP 4784 ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

17 structure, PS cylindrical microdomains in a PMMA matrix allows inverse nanostructures, such as Si nanorods to be prepared. [235] As seen in Figure 18, a 35-nm-thick Si 3 N 4 layer was deposited on (100)-oriented Si wafer and the surface of the Si 3 N 4 modified by PS-r-PMMA copolymers for the vertical orientation of PS microdomains. UV irradiation selectively etched the PMMA matrix to leave crosslinked PS dots which were subsequently stained with RuO 4. Reactive ion etching with a mixture of CHF 3 and Ar afforded Si 3 N 4 nanodots which protected the underlying Si from HBr etching, generating Si nanowires on the surface. Tuning the etch behavior of block domains can also be accomplished using preformed organometallic BCPs. To illustrate this strategy, Cheng et al. [236] used polyferrocenyldimethylsilane (PFS) spherical microdomains in PS-b-PFS BCP thin films as an effective etch mask to directly transfer the pattern defined by the PFS domains into the underlying substrate. Jeong et al. [237] further developed this process and showed its applicability to a variety of inorganic thin films taking advantage of the spontaneously formation of thin native oxide layers on the surface of most non-oxide materials (metals, semiconductors, nitrides) under normal atmospheric conditions. Acid/base treatment or ultraviolet oxygen (UVO) irradiation further enhances the generation of hydroxyl groups which can be covalently modified by a silane self-assembled monolayer or hydroxyl terminated PS-r-PMMA random copolymer which allows for alignment of BCPs. The inorganic thin films were prepared via chemical vapor deposition, sputtering, atomic layer deposition (ALD), and sol gel process. Figure 19 shows the nanowires or dots consisting of Cu, Pt, Ir, Ru, Co 40 Fe 60,TiO 2, ZnO, HfO 2, and Si 3 N 4 from the deposited thin films. Figure 18. a) Schematic diagram of the fabrication of an array of Si nanorods from BCP lithography. The pattern of BCP microdomains was transferred to form Si3N4 porous films by reactive ion etching with a CHF3/Ar mixture gas layer. The Si3N4 plays as a hard mask for further HBr etching to generate Si nanorods. b) TEM image of Si nanodots and c) TEM image of Si nanorods. Modified with permission from [235]. Copyright 2007, ACS. Another example of a semiconductor device is a Flash memory transistor where a conducting floating-gate layer is positioned within the gate stack of a field-effect transistor and the floating gate serves as the charge-storage node. The floating gate can reversibly shift in the threshold voltage, which enables the storage of information. [241,242] To obtain these devices, the floating gate should be embedded in a thick oxide layer (program oxide layer) to prevent charge leakage to the source or drain electrode. For 4.3. Commercial Applications of BCP Lithography The ability to fabricate ultra-high density, ordered arrays of magnetic colloids from BCP templates strongly suggests their use as magnetoelectronic and magnetic storage devices. Ross and coworkers [236,238,239] demonstrated the fabrication of Co particles with diameters of nm using BCP lithography with this technology also being applied to arrays of single-layer Co, NiFe, and Co/Cu/NiFe particles with thickness up to 20 nm. The nanoparticles showed thermally assisted reversal, with switching volumes larger than the physical size due to strong magnetostatic coupling between the nanoparticles. In order to fabricate long range-ordered magnetic dots substrates patterned with shallow steps were exploited and PS-b-PFS BCP containing PFS cylindrical microdomains vertically oriented to the substrate provides one-step fabrication of arrays of magnetic nanoparticles. [236] The culmination of this work is the report from Toshiba demonstrating a high density, patterned magnetic medium (Co 74 Cr 6 Pt 20 ) with 40 nm features in a 2.5 inch disk format that used graphoepitaxial to create long-range ordering. [240] Figure 19. SEM images of PS-b-PMMA lamellar microdomains a) on a Ru thin film and b) on a Si3N4 thin film, c) SEM image of PS-b-PMMA cylindrical microdomains with PMMA cylinders on a TiO2 thin film, d) PS cylinders in PMMA matrix on a Co40 Fe60 thin film. Modified with permission from [237]. Copyright 2008, Wiley-VCH. Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4785

18 Figure 20. a) Schematic of the fabrication procedure of Si nanocrystal Flash memory. b) SEM image of Si nanocrystal floating gate structure, c) plot of I d vs. V g for a nanocrystal FET in erased state (open circles) and written circles (filled symbols), d) I d vs. time for a nanocrystal FET in erased state (open circles) and written circles (filled symbols). Modified with permission from [4]. Copyright 2007, IBM Corp. Figure 21. a) Schematic process flow for capacitor fabrication. b) SEM image of porous oxide hardmask fabricated from a PS-b-PMMA thin film template. c) SEM image of Si electrode. d f) Cross-section TEM image of completed capacitor. g) Schematic diagram of each capacitor profile. Modified with permission from [248]. Copyright 2004, IEEE/EDS. optimal performance, a nanostructured floating-gate is predicted to improve charge retention, have a lower operating voltage while at the same time providing better reliability in repeated read/write operations than a continuous floating-gate. [243] The first requirement for the practical application of nanostructured floating-gates is precise control over the size, position, and uniformity in particle density, as well as simplified processing for device manufacturing. Black and Guarini [4,244,245] pioneered the fabrication of these advanced flash memory devices by using BCP pattern transfer to pattern the program oxide layer and then deposit amorphous Si (Fig. 20). As expected, the memory device showed high reliability, as many as 10 9 write erase cycles at low operation voltages (35 V). this work, Shahrjerdi et al. [246] demonstrated fabrication of Ni nanocrystal flash memory using PS-b-PMMA BCP lithography with a silicon oxide/polyimide/ BCP trilayer system in order to facilitate the liftoff process of a deposited Ni layer. The memory device showed excellent retention and endurance characteristics. An important consequence of the BCP lithographic process is that the templated negative or positive patterns greatly enlarge the surface area compared with the planar substrate. The degree of surface enhancement for the case of hexagonal cylindrical nanopores is represented by the following: [247] DA A planar ¼ pdh 2 sin 60 ¼ p 2p ffiffiffi d 3 2 a (1) The surface area increase (DA/A planar ) is proportional to the aspect ratio of the nanopores (a ¼ h/d) and pore diameter (d), but inversely proportional to inter-domain spacing (l). From Equation (1), the surface area increase can be several times that of a planar surface. Black et al. [248] utilized this surface area increase to enhance charge-storage capacity in microelectronic devices which is proportional to the surface area of electrodes. Figure 21 shows their schematic and results for nanostructures templated from PS-b-PMMA BCP thin films with vertically aligned PMMA cylindrical domains and transferred to a dielectric hard mask (SiO 2 ) followed by the etching of trenches in the Si electrode with 20 nm pore diameter and an aspect ratio of 5. TaN was conformally coated by ALD on these devices with the accumulation capacity being increased by 410%. The tradeoff in these nanostructures was the enhanced leakage current in the devices. [249] 4786 ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2009, 21,

19 The high surface area generation by the formation of dots and nanorods also finds potential applications in sensors where sensitivity can be markedly enhanced. For example, arrays of noble metals nanoparticles whose surfaces are treated with probe biomolecules can be directly used as colorimetric biosensors which provide color change as target molecules are immobilized at their surfaces. [250,251] In this regard, Mirkin has employed surface enhanced Raman spectroscopy (SERS) and composite structures involving decorating Ag nanoparticles on Au nanoparticles with Raman dyes at the surfaces with the sensitivity of the sensors being decreased to femtomole concentrations. [252] The same strategy can be applied to BCP templates to generate bimetallic nanoparticles with a high degree of size control and spatial resolution/ordering. As a complement to high surface area materials, BCP lithography can be used to prepare porous membranes with nanopores, the size of which is useful for molecular filtration, sorting, and sensing. [253,254] Size exclusion filters are the simplest and most effective demonstration of this technology with the filters requiring extremely uniform pores with a high pore density and acceptable solution permeability. Track-etched polycarbonate membranes have been primarily used for isolating viruses that are in size of tens of nanometers such as AIDS and Hepatitis C Virus. However, these materials suffer from poor size selectivity [255,256] and the low porosity and thick membrane layer also limits the permeability of the polycarbonate filters. [257] To overcome these difficulties, Kim and coworkers [258] employed nanoporous BCP thin film membranes to separate many types of viruses and controlled the diameter of the pores by blending PMMA homopolymers with the original PS-b-PMMA BCPs (see Fig. 22). By selectively removing the homopolymers only, they produced an array of nanopores with 15 nm in diameter which allowed HRV14 virus to be completely removed from the solution, whereas bovine serum albumin (BSA) passed through the membrane. [259] For applications that require a more rigid, non-polymeric membrane, Black and coworkers [249] transferred nanopores prepared from PS-b-PMMA thin films to a SiO 2 /Si/ SiO 2 trilayer substrate. Selective etching transferred the nanopores to the Si layer and the top/bottom SiO 2 layers were removed with KOH solution to give a suspended Si membrane with pores directly templated by the BCP. 5. Summary and Outlook Figure 22. a) Schematic description of the fabrication of nanoporous membranes. b) SEM image of the membrane after filtration of a PBS buffer solution containing HRV14 viruses. c) High magnified SFM image of the filtered HRV14 virus. Modified with permission [258]. Copyright 2006, Wiley-VCH. From the work reviewed above, it is obvious that there has been significant progress in developing BCP lithography as a viable strategy for preparing a range of functionalized nanostructures. A variety of methods have been developed to orient the microdomains of BCPs in the vertical direction by manipulating the interfacial interactions or imposing various external fields (Section 2). Equally important is to achieve the long-range lateral ordering of microdomains, while maintaining the desired orientations in the vertical direction. As described in Section 3, this has been successfully accomplished via templated selfassembly from topologically or chemically patterned substrates. Also, various external fields or processing conditions have been employed to improve lateral ordering which has significant commercial implications (Section 4), including magnetic information storage media, non-volatile floating-gate memory, advanced capacitors, biosensors, and virus filtering membranes. This rapid development has recently focused attention on a number of future challenges in the field of BCP lithography which will have a profound effect on the ultimate wide spread adoption of this technology. These can be categorized in a few general areas, the first involves the optimization of processing conditions from a manufacturing viewpoint. Although the self-assembly of BCPs has many advantages such as low cost and compatibility with existing manufacturing processes, a major limitation is that a long annealing time is always required to obtain well-defined patterns. This is a result of the self-assembly process being based on the diffusion of polymer chains, which is highly dependent on molecular weight and annealing temperature. For example, it requires more than 6hfor a50kps-b-pmma BCP thin film to self-assemble at 180 8C. As mentioned in Section 3.2, Nealey and coworkers [141] recently demonstrated that the annealing time for directed self-assembly of PS-b-PMMA can be significantly reduced to a few minutes by increasing the annealing temperature. By adjusting the solvent casting conditions, it was also reported that ordered patterns were obtained right after spin casting of BCP solutions, though defects were present. Since the minimization of processing time is highly desirable from a practical manufacturing viewpoint, more basic studies will be required in future to understand and optimize the processing condition for self-assembly of various BCPs. In addition, the subtle interplay of other processing variables needs to be understood so that structural features can be optimized, for example, Adv. Mater. 2009, 21, ß 2009 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim 4787

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by. PROCEEDINGS OF SPIE Alternative Lithographic Technologies VII Douglas J. Resnick Christopher Bencher Editors 23-26 February 2015 San Jose, California, United States Sponsored by SPIE Cosponsored by DNS

More information

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology Su-Mi Hur Glenn Fredrickson Complex Fluids Design Consortium Annual Meeting Monday, February 2, 2009 Materials Research Laboratory

More information

Introduction to / Status of Directed Self- Assembly

Introduction to / Status of Directed Self- Assembly Introduction to / Status of Directed Self- Assembly DSA Workshop, Kobe Japan, October 2010 Bill Hinsberg IBM Almaden Research Center San Jose CA 95120 hnsbrg@almaden.ibm.com 2010 IBM Corporation from Bringing

More information

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Low Power Phase Change Memory via Block Copolymer Self-assembly Technology Beom Ho Mun 1, Woon Ik Park 1, You Yin 2, Byoung Kuk You 1, Jae Jin Yun 1, Kung Ho Kim 1, Yeon Sik Jung 1*, and Keon Jae Lee 1*

More information

Chapter 2. Block copolymers. a b c

Chapter 2. Block copolymers. a b c Chapter 2 Block copolymers In this thesis, the lamellar orientation in thin films of a symmetric diblock copolymer polystyrene-polymethylmethacylate P(S-b-MMA) under competing effects of surface interactions

More information

SEMATECH Knowledge Series 2010

SEMATECH Knowledge Series 2010 SEMATECH Knowledge Series 2010 Summary of the SEMATECH Workshop on Directed Self Assembly Lloyd Litt SEMATECH/GF Bill Hinsberg - IBM 20 October 2010 Kobe, Japan Copyright 2010 Advanced Materials Research

More information

Imaging Polymer Morphology Using Atomic Force Microscopy

Imaging Polymer Morphology Using Atomic Force Microscopy Imaging Polymer Morphology Using Atomic Force Microscopy Russell J. Composto Materials Science and Engineering, and the Laboratory for Research on the Structure of Matter, University of Pennsylvania Agilent

More information

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures

Supporting Online Material. Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Supporting Online Material Directed Assembly of Block Copolymer Blends into Non-regular Device Oriented Structures Mark P. Stoykovich, 1 Marcus Müller, 2 Sang Ouk Kim, 1* Harun H. Solak, 3 Erik W. Edwards,

More information

University of Groningen. Thin films of complexed block copolymers van Zoelen, Wendy; ten Brinke, Gerrit. Published in: Soft Matter

University of Groningen. Thin films of complexed block copolymers van Zoelen, Wendy; ten Brinke, Gerrit. Published in: Soft Matter University of Groningen Thin films of complexed block copolymers van Zoelen, Wendy; ten Brinke, Gerrit Published in: Soft Matter DOI: 10.1039/b817093b IMPORTANT NOTE: You are advised to consult the publisher's

More information

The Intermaterial Dividing Surface (IMDS)

The Intermaterial Dividing Surface (IMDS) The Intermaterial Dividing Surface (IMDS) Can think of the microdomain structure as comprised of a set of surfaces that define the changeover in composition from Block A to Block B The IMDS in an AB diblock

More information

Nanotechnology Fabrication Methods.

Nanotechnology Fabrication Methods. Nanotechnology Fabrication Methods. 10 / 05 / 2016 1 Summary: 1.Introduction to Nanotechnology:...3 2.Nanotechnology Fabrication Methods:...5 2.1.Top-down Methods:...7 2.2.Bottom-up Methods:...16 3.Conclusions:...19

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/1/10/e1500751/dc1 Supplementary Materials for Uniform metal nanostructures with long-range order via three-step hierarchical self-assembly The PDF file includes:

More information

Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement)

Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement) Field-based Simulations for Block Copolymer Lithography (Self-Assembly of Diblock Copolymer Thin Films in Square Confinement) Su-Mi Hur Glenn H. Fredrickson Complex Fluids Design Consortium Annual Meeting

More information

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy Jing-jiang Yu Nanotechnology Measurements Division Agilent Technologies, Inc. Atomic Force Microscopy High-Resolution

More information

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Supplementary Figure 1. SEM images of perovskite single-crystal patterned thin film with

More information

Thickness-induced morphology changes in lamellar diblock copolymer ultrathin films

Thickness-induced morphology changes in lamellar diblock copolymer ultrathin films EUROPHYSICS LETTERS 15 December 1997 Europhys. Lett., 40 (6), pp. 643-648 (1997) Thickness-induced morphology changes in lamellar diblock copolymer ultrathin films T. L. Morkved and H. M. Jaeger The James

More information

The first three categories are considered a bottom-up approach while lithography is a topdown

The first three categories are considered a bottom-up approach while lithography is a topdown Nanowires and Nanorods One-dimensional structures have been called in different ways: nanowires, nanorod, fibers of fibrils, whiskers, etc. The common characteristic of these structures is that all they

More information

RESEARCH HIGHLIGHTS. Polymer Photonic Crystals by Self-Assembly Raymond Weitekamp

RESEARCH HIGHLIGHTS. Polymer Photonic Crystals by Self-Assembly Raymond Weitekamp RESEARCH HIGHLIGHTS From the Resnick Sustainability Institute Graduate Research Fellows at the California Institute of Technology Polymer Photonic Crystals by Self-Assembly Global Significance Urbanization

More information

Model Solutions Spring 2003

Model Solutions Spring 2003 Exam 2 BE.462J/3.962J Model Solutions Spring 2003 (80 points total possible) 1. (10 points) Explain the phenomenon of phsensitive swelling in polyelectrolyte hydrogels. Why does the swelling depend on

More information

Supporting Information

Supporting Information Supporting Information Oh et al. 10.1073/pnas.0811923106 SI Text Hysteresis of BPE-PTCDI MW-TFTs. Fig. S9 represents bidirectional transfer plots at V DS 100VinN 2 atmosphere for transistors constructed

More information

Nanostructures Fabrication Methods

Nanostructures Fabrication Methods Nanostructures Fabrication Methods bottom-up methods ( atom by atom ) In the bottom-up approach, atoms, molecules and even nanoparticles themselves can be used as the building blocks for the creation of

More information

Fabrication of ordered array at a nanoscopic level: context

Fabrication of ordered array at a nanoscopic level: context Fabrication of ordered array at a nanoscopic level: context Top-down method Bottom-up method Classical lithography techniques Fast processes Size limitations it ti E-beam techniques Small sizes Slow processes

More information

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning SEMI Texas Spring Forum May 21, 2013 Austin, Texas Author / Company / Division / Rev. / Date A smartphone today has

More information

There's Plenty of Room at the Bottom

There's Plenty of Room at the Bottom There's Plenty of Room at the Bottom 12/29/1959 Feynman asked why not put the entire Encyclopedia Britannica (24 volumes) on a pin head (requires atomic scale recording). He proposed to use electron microscope

More information

Surface atoms/molecules of a material act as an interface to its surrounding environment;

Surface atoms/molecules of a material act as an interface to its surrounding environment; 1 Chapter 1 Thesis Overview Surface atoms/molecules of a material act as an interface to its surrounding environment; their properties are often complicated by external adsorbates/species on the surface

More information

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry

Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Nanostructured Materials and New Processing Strategies Through Polymer Chemistry Professor Christopher J. Ellison McKetta Department of Chemical Engineering and Texas Materials Institute The University

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 3,500 108,000 1.7 M Open access books available International authors and editors Downloads Our

More information

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Nanoscale Res Lett (2008) 3: 127 DOI 10.1007/s11671-008-9124-6 NANO EXPRESS A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars Wei Wu Æ Dibyendu

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Ordered, porous and multifaceted polymer films

Ordered, porous and multifaceted polymer films Ordered, porous and multifaceted polymer films Essay Submission for the 2008 IUPAC Prize for Young Chemists Dr. Luke A. Connal Department of Chemical and Biomolecular Engineering, The University of Melbourne,

More information

Polymer Reaction Engineering

Polymer Reaction Engineering Polymer Reaction Engineering Polymerization Techniques Bulk Solution Suspension Emulsion Interfacial Polymerization Solid-State Gas-Phase Plasma Polymerization in Supercritical Fluids Bulk Polymerization

More information

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly I. Nanofabrication and Characterization : TOC I. NANOFABRICATION O AND CHARACTERIZATION Chap. 1 : Nanolithography Chap. 2 : Self-Assembly Chap. 3 : Scanning Probe Microscopy Nanoscale fabrication requirements

More information

Three Approaches for Nanopatterning

Three Approaches for Nanopatterning Three Approaches for Nanopatterning Lithography allows the design of arbitrary pattern geometry but maybe high cost and low throughput Self-Assembly offers high throughput and low cost but limited selections

More information

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM U.S. -KOREA Forums on Nanotechnology 1 CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM February 17 th 2005 Eung-Sug Lee,Jun-Ho Jeong Korea Institute of Machinery & Materials U.S. -KOREA Forums

More information

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations

Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations 8290 Macromolecules 2010, 43, 8290 8295 DOI: 10.1021/ma101360f Enhancing the Potential of Block Copolymer Lithography with Polymer Self-Consistent Field Theory Simulations Rafal A. Mickiewicz,, Joel K.

More information

Introduction. Photoresist : Type: Structure:

Introduction. Photoresist : Type: Structure: Photoresist SEM images of the morphologies of meso structures and nanopatterns on (a) a positively nanopatterned silicon mold, and (b) a negatively nanopatterned silicon mold. Introduction Photoresist

More information

Photolithography 光刻 Part II: Photoresists

Photolithography 光刻 Part II: Photoresists 微纳光电子材料与器件工艺原理 Photolithography 光刻 Part II: Photoresists Xing Sheng 盛兴 Department of Electronic Engineering Tsinghua University xingsheng@tsinghua.edu.cn 1 Photolithography 光刻胶 负胶 正胶 4 Photolithography

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 3 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun 1 Syllabus Lithography: photolithography and pattern transfer, Optical and non optical lithography, electron,

More information

Grafting polystyrene on Cellulose (CNC) by surface initiated. Atom Transfer Radical Polymerization (SI ATRP)

Grafting polystyrene on Cellulose (CNC) by surface initiated. Atom Transfer Radical Polymerization (SI ATRP) Grafting polystyrene on Cellulose (CNC) by surface initiated Abstract Atom Transfer Radical Polymerization (SI ATRP) Zhen Zhang, Gilles Sebe, Xiaosong Wang Grafting polymers on the surface of nanoparticles

More information

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Supplementary Information Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication Hyun Jae Song a, Minhyeok Son a, Chibeom Park a, Hyunseob Lim a, Mark P. Levendorf b,

More information

Providing sustainable supply of clean water is one of

Providing sustainable supply of clean water is one of 1 Introduction Fabrication of Water Treatment Membrane Using Templating Method A Critical Review Fabrication of Water Treatment Membrane Using Templating Method A Critical Review ABSTRACT The progress

More information

Diversifying Nanoparticle Assemblies in. Supramolecule Nanocomposites Via Cylindrical

Diversifying Nanoparticle Assemblies in. Supramolecule Nanocomposites Via Cylindrical Diversifying Nanoparticle Assemblies in Supramolecule Nanocomposites Via Cylindrical Confinement Peter Bai, Sui Yang, Wei Bao ǁ, Joseph Kao, Kari Thorkelsson, Miquel Salmeron ǁ, Xiang Zhang ǁ, Ting Xu

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB NO. 0704-0188 The public reporting burden for this collection of information is estimated to average 1 hour per response, including the time for reviewing instructions,

More information

Fabrication of Well-Defined Block Copolymer Nano-Cylinders by Controlling the Thermodynamics and Kinetics Involved in Block Copolymer Self-Assembly

Fabrication of Well-Defined Block Copolymer Nano-Cylinders by Controlling the Thermodynamics and Kinetics Involved in Block Copolymer Self-Assembly The University of Akron From the SelectedWorks of Erol Sancaktar 2008 Fabrication of Well-Defined Block Copolymer Nano-Cylinders by Controlling the Thermodynamics and Kinetics Involved in Block Copolymer

More information

Optimizing Graphene Morphology on SiC(0001)

Optimizing Graphene Morphology on SiC(0001) Optimizing Graphene Morphology on SiC(0001) James B. Hannon Rudolf M. Tromp Graphene sheets Graphene sheets can be formed into 0D,1D, 2D, and 3D structures Chemically inert Intrinsically high carrier mobility

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1 UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences EECS 143 Fall 2008 Exam 1 Professor Ali Javey Answer Key Name: SID: 1337 Closed book. One sheet

More information

Top down and bottom up fabrication

Top down and bottom up fabrication Lecture 24 Top down and bottom up fabrication Lithography ( lithos stone / graphein to write) City of words lithograph h (Vito Acconci, 1999) 1930 s lithography press Photolithography d 2( NA) NA=numerical

More information

Lecture No. (1) Introduction of Polymers

Lecture No. (1) Introduction of Polymers Lecture No. (1) Introduction of Polymers Polymer Structure Polymers are found in nature as proteins, cellulose, silk or synthesized like polyethylene, polystyrene and nylon. Some natural polymers can also

More information

Supplementary Figure 1. Cross-section SEM image of the polymer scaffold perovskite film using MAI:PbI 2 =1:1 in DMF solvent on the FTO/glass

Supplementary Figure 1. Cross-section SEM image of the polymer scaffold perovskite film using MAI:PbI 2 =1:1 in DMF solvent on the FTO/glass Supplementary Figure 1. Cross-section SEM image of the polymer scaffold perovskite film using MAI:PbI 2 =1:1 in DMF solvent on the FTO/glass substrate. Scale bar: 1 m. Supplementary Figure 2. Contact angle

More information

Lecture 15: Hierarchically Ordered BCP-Nanoparticle Composites

Lecture 15: Hierarchically Ordered BCP-Nanoparticle Composites Lecture 15: Hierarchically Ordered BCP-Nanoparticle Composites Polymer Based Nanocomposites Matrix: Polymers and Block Copolymers Filler: Nanoparticles 0, 1, 2D Fillers Ligands for Dispersion Co-assembly

More information

UNIVERSITY OF NAPLES FEDERICO II

UNIVERSITY OF NAPLES FEDERICO II UNIVERSITY OF NAPLES FEDERICO II SCHOOL OF DOCTORATE IN CHEMICAL SCIENCES Nanostructured polymeric materials for morphology control on nanometer scale and nanotechnology applications by Claudia Diletto

More information

Polymer. Inorganic block copolymer lithography. Adam Nunns, Jessica Gwyther, Ian Manners * Feature article. abstract

Polymer. Inorganic block copolymer lithography. Adam Nunns, Jessica Gwyther, Ian Manners * Feature article. abstract Polymer 54 (2013) 1269e1284 Contents lists available at SciVerse ScienceDirect Polymer journal homepage: www.elsevier.com/locate/polymer Feature article Inorganic block copolymer lithography Adam Nunns,

More information

Hierarchy in Block Copolymer Morphology (Web report) MANGESH CHAMPHEKAR (Materials Science and Engg.)

Hierarchy in Block Copolymer Morphology (Web report) MANGESH CHAMPHEKAR (Materials Science and Engg.) Hierarchy in Block Copolymer Morphology (Web report) By MANGESH CHAMPHEKAR (Materials Science and Engg.) ABSTRACT In the recent years, the study of block copolymers has received special attention from

More information

Self Organization. Order. Homogeneous state. Structurally ordered state. Structurally ordered state. Order. Disorder

Self Organization. Order. Homogeneous state. Structurally ordered state. Structurally ordered state. Order. Disorder Muthukumar, M., Ober, C.K. and Thomas, E.L., "Competing Interactions and Levels of Ordering in Self-Organizing Materials," Science, 277, 1225-1237 (1997). Self Organization Homogeneous state Order Disorder

More information

Chapter 3. Step Structures and Epitaxy on Semiconductor Surfaces

Chapter 3. Step Structures and Epitaxy on Semiconductor Surfaces and Epitaxy on Semiconductor Surfaces Academic and Research Staff Professor Simon G.J. Mochrie, Dr. Ophelia Tsui Graduate Students Seugheon Song, Mirang Yoon 3.1 Introduction Sponsors Joint Services Electronics

More information

Monolayer Semiconductors

Monolayer Semiconductors Monolayer Semiconductors Gilbert Arias California State University San Bernardino University of Washington INT REU, 2013 Advisor: Xiaodong Xu (Dated: August 24, 2013) Abstract Silicon may be unable to

More information

SPECIALTY MONOMERS FOR ENHANCED FUNCTIONALITY IN EMULSION POLYMERIZATION

SPECIALTY MONOMERS FOR ENHANCED FUNCTIONALITY IN EMULSION POLYMERIZATION SPECIALTY MONOMERS FOR ENHANCED FUNCTIONALITY IN EMULSION POLYMERIZATION Pierre Hennaux, Nemesio Martinez-Castro, Jose P. Ruiz, Zhihua Zhang and Michael D. Rhodes Solvay Inc. Centre for Research & Technology-

More information

Research to Improve Photovoltaic (PV) Cell Efficiency by Hybrid Combination of PV and Thermoelectric Cell Elements.

Research to Improve Photovoltaic (PV) Cell Efficiency by Hybrid Combination of PV and Thermoelectric Cell Elements. UNIVERSITY OF CENTRAL FLORIDA Research to Improve Photovoltaic (PV) Cell Efficiency by Hybrid Combination of PV and Thermoelectric Cell Elements. Page 129 PI: Nicoleta Sorloaica-Hickman, Robert Reedy Students:

More information

Toward nanoporous composite membranes with tailored block copolymers as selective layer

Toward nanoporous composite membranes with tailored block copolymers as selective layer Research Topic: Toward nanoporous composite membranes with tailored block copolymers as selective layer By Marcel Gawenda Outline of the presentation: 1. Motivation of the project 2. Concept of the project

More information

2 Current status of the project

2 Current status of the project 1 Background The current research project started in September 2015 and its objective was to prepare and characterizise supramolecular block copolymer thin lms. Special interest was to study whether it

More information

Nanowires and nanorods

Nanowires and nanorods Nanowires and nanorods One-dimensional structures have been called in different ways: nanowires, nanorod, fibers of fibrils, whiskers, etc. These structures have a nanometer size in one of the dimensions,

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern

Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern Supplementary Figure 1 SEM images and corresponding Fourier Transformation of nanoparticle arrays before pattern transfer (left), after pattern transfer but before pattern shrinkage (middle), and after

More information

Physical Chemistry of Polymers (4)

Physical Chemistry of Polymers (4) Physical Chemistry of Polymers (4) Dr. Z. Maghsoud CONCENTRATED SOLUTIONS, PHASE SEPARATION BEHAVIOR, AND DIFFUSION A wide range of modern research as well as a variety of engineering applications exist

More information

Introduction to Photolithography

Introduction to Photolithography http://www.ichaus.de/news/72 Introduction to Photolithography Photolithography The following slides present an outline of the process by which integrated circuits are made, of which photolithography is

More information

Supporting Information

Supporting Information Block Copolymer Mimetic Self-Assembly of Inorganic Nanoparticles Yunyong Guo, Saman Harirchian-Saei, Celly M. S. Izumi and Matthew G. Moffitt* Department of Chemistry, University of Victoria, P.O. Box

More information

Nanopattern Formation of a Block Copolymer by Water as a Non-Solvent

Nanopattern Formation of a Block Copolymer by Water as a Non-Solvent Nanopattern Formation of a Block Copolymer by Water as a Non-Solvent Shigeru Okamoto Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555, Japan. okamoto.shigeru@nitech.ac.jp Keywords:

More information

Quantum Dots for Advanced Research and Devices

Quantum Dots for Advanced Research and Devices Quantum Dots for Advanced Research and Devices spectral region from 450 to 630 nm Zero-D Perovskite Emit light at 520 nm ABOUT QUANTUM SOLUTIONS QUANTUM SOLUTIONS company is an expert in the synthesis

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

Contents. Principles: Theory and Practice

Contents. Principles: Theory and Practice Contents Part I Principles: Theory and Practice 1 Physical Principles of Scanning Probe Microscopy Imaging... 3 1.1 Introduction... 3 1.2 The Physical Principles of Atomic Force Microscopy... 4 1.2.1 Forces

More information

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Techniken der Oberflächenphysik (Techniques of Surface Physics) Techniken der Oberflächenphysik (Techniques of Surface Physics) Prof. Yong Lei & Dr. Yang Xu (& Liying Liang) Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de;

More information

Physics and Chemistry of Interfaces

Physics and Chemistry of Interfaces Hans Jürgen Butt, Karlheinz Graf, and Michael Kappl Physics and Chemistry of Interfaces Second, Revised and Enlarged Edition WILEY- VCH WILEY-VCH Verlag GmbH & Co. KGaA Contents Preface XI 1 Introduction

More information

CHAPTER 8. MOLAR MASS DEPENDENT GROWTH OF POLY(ε- CAPROLACTONE) CRYSTALS IN LANGMUIR FILMS

CHAPTER 8. MOLAR MASS DEPENDENT GROWTH OF POLY(ε- CAPROLACTONE) CRYSTALS IN LANGMUIR FILMS CHAPTER 8 MOLAR MASS DEPENDENT GROWTH OF POLY(ε- CAPROLACTONE) CRYSTALS IN LANGMUIR FILMS Reproduced with permission from: Li, B.; Esker, A. R. Molar Mass Dependent Growth of Poly(ε-caprolactone) Crystals

More information

Markus Niederberger Max Planck Institute of Colloids and Interfaces, Potsdam, Germany.

Markus Niederberger Max Planck Institute of Colloids and Interfaces, Potsdam, Germany. Markus Niederberger Max Planck Institute of Colloids and Interfaces, Potsdam, Germany Markus.Niederberger@mpikg-golm.mpg.de Outline of the Lecture Self-Assembly of Nanocrystals to Superstructures What

More information

Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification

Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification Self-Assembly Negative-Tone Block Copolymer Lithography by In Situ Surface Chemical Modification Bong Hoon Kim, Kyeong-Jae Byeon, Ju Young Kim, Jinseung Kim, Hyeong Min Jin, Joong-Yeon Cho, Seong-Jun Jeong,

More information

Chapter 5. Effects of Photonic Crystal Band Gap on Rotation and Deformation of Hollow Te Rods in Triangular Lattice

Chapter 5. Effects of Photonic Crystal Band Gap on Rotation and Deformation of Hollow Te Rods in Triangular Lattice Chapter 5 Effects of Photonic Crystal Band Gap on Rotation and Deformation of Hollow Te Rods in Triangular Lattice In chapter 3 and 4, we have demonstrated that the deformed rods, rotational rods and perturbation

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Transfer of Chirality from Molecule to Phase in Self-assembled Chiral Block Copolymers

Transfer of Chirality from Molecule to Phase in Self-assembled Chiral Block Copolymers Transfer of Chirality from Molecule to Phase in Self-assembled Chiral Block Copolymers Rong-Ming Ho,* Ming-Chia Li, Shih-Chieh Lin, Hsiao-Fang Wang, Yu-Der Lee, Hirokazu Hasegawa, and Edwin L. Thomas Supporting

More information

LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS

LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS LAYER BY LAYER (LbL) SELF-ASSEMBLY STRATEGY AND ITS APPLICATIONS A. Z. Cheng 1, R. Swaminathan 2 1 Nanotechnology Engineering, University of Waterloo, azcheng@uwaterloo.ca; 2 Nanotechnology Engineering,

More information

Nanostrukturphysik (Nanostructure Physics)

Nanostrukturphysik (Nanostructure Physics) Nanostrukturphysik (Nanostructure Physics) Prof. Yong Lei & Dr. Yang Xu Fachgebiet 3D-Nanostrukturierung, Institut für Physik Contact: yong.lei@tu-ilmenau.de; yang.xu@tu-ilmenau.de Office: Unterpoerlitzer

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die printing system combined with grazing incidence X-ray diffraction (GIXD) set-up. 1 Supplementary Figure 2 2D GIXD images

More information

III-V nanostructured materials synthesized by MBE droplet epitaxy

III-V nanostructured materials synthesized by MBE droplet epitaxy III-V nanostructured materials synthesized by MBE droplet epitaxy E.A. Anyebe 1, C. C. Yu 1, Q. Zhuang 1,*, B. Robinson 1, O Kolosov 1, V. Fal ko 1, R. Young 1, M Hayne 1, A. Sanchez 2, D. Hynes 2, and

More information

Supplementary Information

Supplementary Information Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supplementary Information Visualization of equilibrium position of colloidal particles at fluid-water

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media. originates from the limited number of options regarding orthogonal solvents, i.e. solvents that do not dissolve or adversely damage a pre-deposited organic materials layer. The simplest strategy to achieve

More information

Supporting Information

Supporting Information Copyright WILEY VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2015. Supporting Information for Adv. Mater., DOI: 10.1002/adma.201503122 High Charge-Carrier Mobility of 2.5 cm 2 V 1 s 1 from a Water-Borne

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly Senichi Nishibe a, Thaddeus Dziura a, Venkat Nagaswami a, Roel Gronheid b a KLA-Tencor Corporation, 1 Technology Drive, Milpitas

More information

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films SUPPORTING INFORMATION A Photonic Crystal Laser from Solution Based Organo-Lead Iodide Perovskite Thin Films Songtao Chen 1, Kwangdong Roh 2, Joonhee Lee 1, Wee Kiang Chong 3,4, Yao Lu 5, Nripan Mathews

More information

(865) Buehler 567,

(865) Buehler 567, Bin Zhao, Associate Professor of Chemistry zhao@ion.chem.utk.edu (865)974-3399 Buehler 567, 506-508 Polymer Chemistry, Surface Chemistry, Materials Chemistry Our research involves the synthesis and study

More information

2D Materials for Gas Sensing

2D Materials for Gas Sensing 2D Materials for Gas Sensing S. Guo, A. Rani, and M.E. Zaghloul Department of Electrical and Computer Engineering The George Washington University, Washington DC 20052 Outline Background Structures of

More information

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany

Nanotechnology Nanofabrication of Functional Materials. Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Nanotechnology Nanofabrication of Functional Materials Marin Alexe Max Planck Institute of Microstructure Physics, Halle - Germany Contents Part I History and background to nanotechnology Nanoworld Nanoelectronics

More information

Carbon Nanotube Thin-Films & Nanoparticle Assembly

Carbon Nanotube Thin-Films & Nanoparticle Assembly Nanodevices using Nanomaterials : Carbon Nanotube Thin-Films & Nanoparticle Assembly Seung-Beck Lee Division of Electronics and Computer Engineering & Department of Nanotechnology, Hanyang University,

More information

MATERIALS SCIENCE POLYMERS

MATERIALS SCIENCE POLYMERS POLYMERS 1) Types of Polymer (a) Plastic Possibly the largest number of different polymeric materials come under the plastic classification. Polyethylene, polypropylene, polyvinyl chloride, polystyrene,

More information

Microstructured Porous Silica Obtained via Colloidal Crystal Templates

Microstructured Porous Silica Obtained via Colloidal Crystal Templates Paper No. 203e Microstructured Porous Silica Obtained via Colloidal Crystal Templates O. D. Velev, T. A. Jede, R. F. Lobo and A. M. Lenhoff Department of Chemical Engineering, University of Delaware, Newark

More information

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004 Development of Photosensitive Polyimides for LCD with High Aperture Ratio May 24, 2004 utline Why is polymer dielectric required for TFT LCD? Requirements of the polymer dielectrics What is polyimide?

More information

A Plasmonic Photocatalyst Consisting of Silver Nanoparticles Embedded in Titanium Dioxide. Ryan Huschka LANP Seminar February 19, 2008

A Plasmonic Photocatalyst Consisting of Silver Nanoparticles Embedded in Titanium Dioxide. Ryan Huschka LANP Seminar February 19, 2008 A Plasmonic Photocatalyst Consisting of Silver Nanoparticles Embedded in Titanium Dioxide Ryan Huschka LANP Seminar February 19, 2008 TiO 2 Applications White Pigment Photocatalyst Previous methods to

More information

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers

Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers Directed Self-assembly of Topcoat-free Polycarbonate-containing High-χ Block Copolymers, Kristin Schmidt, Gabriela Alva, Noel Arellano, Teddie Magbitang, Anindarupa Chunder, Melia Tjio, Elizabeth Lofano,

More information